当前位置:文档之家› 哈工大数电大作业

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y

数字电子技术基础大作业

课程名称:数字电子技术基础

设计题目:血型与状态机

院系:

班级:

设计者:

学号:

哈尔滨工业大学

血型逻辑电路设计

一实验目的

1.掌握采用可编程逻辑器件实现数字电路与系统的方法。

2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。

3.学会设计血型能否输血的数字电路。

4.掌握Verilog HDL描述数字逻辑电路与系统的方法。

二设计要求

1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。

2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图

1.电路模块图(简化)

应用:

2.内部电路组成(简化)

四 编程

1.源程序

module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E;

output[3:0] F;

output[3:0] G;

output[7:0] OUT;

output[3:0] CTL;

reg E;

reg[3:0] F;

reg[3:0] G;

reg[7:0] OUT;

reg[7:0] OUT1;

reg[7:0] OUT2;

reg[7:0] OUT3;

reg[7:0] OUT4;

reg[3:0] CTL=4'b1110;

output bi;

reg bi;

integer clk_cnt;

reg clk_400Hz;

always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000)

begin

clk_cnt <= 1'b0;

clk_400Hz <= ~clk_400Hz;

end

else

clk_cnt <= clk_cnt + 1'b1;

//位控制

reg clk_1Hz;

integer clk_1Hz_cnt; //1Hz发声信号

always @(posedge clk)

if(clk_1Hz_cnt==32'd2*******-1)

begin

clk_1Hz_cnt <= 1'b0;

clk_1Hz <= ~clk_1Hz;

end

else

clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz)

CTL <= {CTL[2:0],CTL[3]};

//段控制

always @(CTL)

case(CTL)

4'b0111:

OUT=OUT1;

4'b1011:

OUT=OUT3;

4'b1110:

OUT=OUT4;

default:OUT=4'hf;

endcase

always @(M or N or P or Q)

begin

E=(P&Q)|(~M&~N)|(~M&Q)|(~N&P); //选择能否输血case(E)

1:

begin

OUT1=8'b10001001;

OUT2=8'b01100001;

OUT3=8'b01001001;

OUT4=8'b11111111;

bi=clk_400Hz;

end

0:

begin

OUT1=8'b00010011;

OUT2=8'b00000011;

OUT3=8'b11111111;

OUT4=8'b11111111;

bi=clk_1Hz;

end

endcase

end

always @(M or N or P or Q) //显示输入输出血型begin

if(M==1&&N==0)

F=4'b1000;

else if(M==0&&N==1)

F=4'b0100;

else if(M==1&&N==1)

F=4'b0010;

else

F=4'b0001;

end

always @(M or N or P or Q) //显示输入输出血型begin

if(P==1&&Q==0)

G=4'b1000;

else if(P==0&&Q==1)

G=4'b0100;

else if(P==1&&Q==1)

G=4'b0001;

end

endmodule

2.管脚定义程序

NET "M" LOC=N3;

NET "N" LOC=E2;

NET "P" LOC=L3;

NET "Q" LOC=P11;

NET "E" LOC=B2;

NET "OUT[7]" LOC = L14;

NET "OUT[6]" LOC = H12;

NET "OUT[5]" LOC = N14;

NET "OUT[4]" LOC = N11;

NET "OUT[3]" LOC = P12;

NET "OUT[2]" LOC = L13;

NET "OUT[1]" LOC = M12;

NET "OUT[0]" LOC = N13;

NET "CTL[3]" LOC = K14;

NET "CTL[2]" LOC = M13;

NET "CTL[1]" LOC = J12;

NET "CTL[0]" LOC = F12;

NET "clk" LOC=B8;

NET "F[3]" LOC=G1;

NET "F[2]" LOC=P4;

NET "F[1]" LOC=N4;

NET "F[0]" LOC=N5;

NET "G[3]" LOC=P6;

NET "G[2]" LOC=P7;

NET "G[1]" LOC=M11;

NET "G[0]" LOC=M5;

NET "bi" LOC=B6;

五仿真图

六下载设计到BASYS2开发板与实物图实物图:

附:程序流程:

1.基本电路设计

用MN 表示输入血型,PQ 表示受血者血型其中10表示A 型,01表示B 型,11表示AB 型,00表示O 型。用E 表示能否输血,1表示能,0表示不能。

则卡诺图为:

表达式为:E PQ MN MQ NP =+++ 则设计一个四输入一输出的电路 其逻辑电路图为

通过此电路图,编写程序,把输入引脚定位到开关上,把输出引脚定位到一个LED上便可以完成设计任务。

2.项目创新

(1)仅使用一个LED的亮与灭来表示能否输血效果不明显,为了加入一个更明显显示能否输血的指示,所以就用到了Basys2板子上自带的数码管,使其在能够输血时显示yes,不能输血时显示no。

观察硬件电路图发现,数码管只由一个片选端控制,所有如果使数码管显示不同字母,则需要利用人类的视觉暂留效应进行循环扫描,来使数码管来显示不同的信息。

(2)为了增强能否输血的提示效果,所以加入一个蜂鸣器,用声音提示能否输血。当系统开启切能输血时,蜂鸣器输出一低音震荡,当不能输血时,蜂鸣器输出高音报警,提示不能输血。

(3)在加入蜂鸣器报警与数码管之后,使用LED来表示能否输血已经多余,而且别人无法判断输血与受血分别是什么血型,所以把8个LED分成两组,其中第一组表示输血血型,第二组表示受血血型,第一个灯表示A型,第二个灯表示B型,第三个灯表示AB型,最后一个灯表示O型。

时序逻辑电路设计

一实验目的

1.掌握采用可编程逻辑器件实现数字电路与系统的方法。

2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。

3.学会设计状态机时序逻辑电路。

4.掌握Verilog HDL描述数字逻辑电路与系统的方法。

二设计要求

1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。

2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图

1.电路模块图

2.内部电路组成

四编程

1.源程序

module Shixu(clk,op,din,B,C,D,E,F,G,H,I,BI,OUT,CTL);

input clk;

input din;

output BI,op;

output[7:0] OUT;

output[3:0] CTL;

output B,C,D,E,F,G,H,I;

reg[7:0] OUT;

reg[7:0] OUT1;

reg[7:0] OUT2;

reg[7:0] OUT3;

reg[7:0] OUT4;

reg[3:0] CTL=4'b1110;

reg B,C,D,E,F,G,H,I;

reg[1:0] current_state,next_state;

reg op,BI;

parameter S0=2'b00,S1=2'b01,S2=2'b10,S3=2'b11;

reg clk_1Hz,clk_400Hz;

integer clk_1Hz_cnt,clk_cnt;

always @(posedge clk)

if(clk_1Hz_cnt==32'd2*******-1)

begin

clk_1Hz_cnt <= 1'b0;

clk_1Hz <= ~clk_1Hz;

end

else

clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1;

always @(posedge clk)

if(clk_cnt==32'd100000)

begin

clk_cnt <= 1'b0;

clk_400Hz <= ~clk_400Hz;

end

else

clk_cnt <= clk_cnt + 1'b1;

reg clk_05Hz;

integer clk_05Hz_cnt;

always @(posedge clk)

if(clk_05Hz_cnt==32'd5*******-1)

begin

clk_05Hz_cnt <= 1'b0;

clk_05Hz <= ~clk_05Hz;

clk_05Hz_cnt <= clk_05Hz_cnt + 1'b1;

reg clk_2Hz;

integer clk_2Hz_cnt;

always @(posedge clk)

if(clk_2Hz_cnt==32'd1*******-1)

begin

clk_2Hz_cnt <= 1'b0;

clk_2Hz <= ~clk_2Hz;

end

else

clk_2Hz_cnt <= clk_2Hz_cnt + 1'b1;

reg clk_4Hz;

integer clk_4Hz_cnt;

always @(posedge clk)

if(clk_4Hz_cnt==32'd6250000-1)

begin

clk_4Hz_cnt <= 1'b0;

clk_4Hz <= ~clk_4Hz;

end

else

clk_4Hz_cnt <= clk_4Hz_cnt + 1'b1;

reg clk_40Hz;

integer clk_40Hz_cnt;

always @(posedge clk)

if(clk_40Hz_cnt==32'd1250000-1)

begin

clk_40Hz_cnt <= 1'b0;

clk_40Hz <= ~clk_40Hz;

end

else

clk_40Hz_cnt <= clk_40Hz_cnt + 1'b1; always @(posedge clk_400Hz)

CTL <= {CTL[2:0],CTL[3]};

always @ (posedge clk_05Hz)

begin

current_state<=next_state;

end

always @(CTL)

case(CTL)

4'b0111:

OUT=OUT1;

4'b1011:

OUT=OUT2;

4'b1101:

OUT=OUT3;

default:OUT=4'hf;

endcase

always @(current_state or din) begin

case(current_state)

S0:

begin

op=0;

B=1;C=1;D=0;E=0;

F=0;G=0;H=0;I=0;

OUT1=8'b01001001;

OUT2=8'b00000011;

OUT3=8'b11111111;

OUT4=8'b00000011;

BI=clk_1Hz;

if(din==0)

next_state=S0;

else

next_state=S1;

end

S1:

begin

op=0;

B=0;C=0;D=1;E=1;

F=0;G=0;H=0;I=0;

OUT1=8'b01001001;

OUT2=8'b10011111;

OUT3=8'b11111111;

OUT4=8'b00000011;

BI=clk_2Hz;

if(din==0)

next_state=S0;

else

next_state=S2;

end

S2:

begin

op=0;

B=0;C=0;D=0;E=0;

F=1;G=1;H=0;I=0;

OUT1=8'b01001001;

OUT2=8'b00100101;

OUT3=8'b11111111;

OUT4=8'b00000011;

BI=clk_4Hz;

else

next_state=S3;

end

S3:

begin

op=1;

B=0;C=0;D=0;E=0;

F=0;G=0;H=1;I=1;

OUT1=8'b01001001;

OUT2=8'b00001101;

OUT3=8'b11111111;

OUT4=8'b10011111;

BI=clk_40Hz;

if(din==0)

begin

next_state=S0;

end

else

next_state=S3;

end

default:

begin

op=0;

B=1;C=1;D=0;E=0;

F=0;G=0;H=0;I=0;

next_state=S0;

end

endcase

end

endmodule

2.管脚定义程序

NET "din" LOC=N3;

NET "op" LOC=C6;

NET "B" LOC=G1;

NET "C" LOC=P4;

NET "D" LOC=N4;

NET "E" LOC=N5;

NET "F" LOC=P6;

NET "G" LOC=P7;

NET "H" LOC=M11;

NET "I" LOC=M5;

NET "clk" LOC=B8;

NET "BI" LOC=B6;

NET "OUT[7]" LOC = L14;

NET "OUT[6]" LOC = H12;

NET "OUT[3]" LOC = P12;

NET "OUT[2]" LOC = L13;

NET "OUT[1]" LOC = M12;

NET "OUT[0]" LOC = N13;

NET "CTL[3]" LOC = K14;

NET "CTL[2]" LOC = M13;

NET "CTL[1]" LOC = J12;

NET "CTL[0]" LOC = F12;

五仿真图

六下载设计到BASYS2开发板与实物图实物图:

数据库系统基础课后题

《数据库系统基础》课后练习题 数据库系统基础 课后练习题 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 1.分别用关系代数、元组演算、SQL语句完成CAP数据库的查询。 CAP数据库有四个关系(表): Customers(cid, cname, city, discnt), 客户定义表,描述了客户的唯一标识 cid,客户名称cname,客户所在的城市city,以及该客户购买产品时所可能给予的折扣discnt Agents(aid, aname, city, percent), 代理商定义表,描述了代理商的唯一标识aid, 代理商名称aname, 代理商所在的城市city,以及该代理商销售产品时所可能给予的佣金/提成percent(以百分比形式表达) 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (1) 找出订单总价大于或者等于$1000的(ordno, pid)对 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (2) 找出所有价格在$0.50和$1.00之间的商品名字,包括边界价格 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (3) 找出订单价格低于$500的(ordno, cname)对,使用一次连接 哈尔滨工业大学计算机科学与技术学院

《数据库系统基础》课后练习题关系代数、关系元组演算、SQL语言 (4) 找出所有三月份接受的订单的(ordno, aname)对,使用一次连接 哈尔滨工业大学计算机科学与技术学院

(完整版)哈工大工程热力学习题答案——杨玉顺版

第二章 热力学第一定律 思 考 题 1. 热量和热力学能有什么区别?有什么联系? 答:热量和热力学能是有明显区别的两个概念:热量指的是热力系通过界面与外界进行的热能交换量,是与热力过程有关的过程量。热力系经历不同的过程与外界交换的热量是不同的;而热力学能指的是热力系内部大量微观粒子本身所具有的能量的总合,是与热力过程无关而与热力系所处的热力状态有关的状态量。简言之,热量是热能的传输量,热力学能是能量?的储存量。二者的联系可由热力学第一定律表达式 d d q u p v δ=+ 看出;热量的传输除了可能引起做功或者消耗功外还会引起热力学能的变化。 2. 如果将能量方程写为 d d q u p v δ=+ 或 d d q h v p δ=- 那么它们的适用范围如何? 答:二式均适用于任意工质组成的闭口系所进行的无摩擦的内部平衡过程。因为 u h pv =-,()du d h pv dh pdv vdp =-=-- 对闭口系将 du 代入第一式得 q dh pdv vdp pdv δ=--+ 即 q dh vdp δ=-。 3. 能量方程 δq u p v =+d d (变大) 与焓的微分式 ()d d d h u pv =+(变大) 很相像,为什么热量 q 不是状态参数,而焓 h 是状态参数? 答:尽管能量方程 q du pdv δ=+ 与焓的微分式 ()d d d h u pv =+(变大)似乎相象,但两者 的数学本质不同,前者不是全微分的形式,而后者是全微分的形式。是否状态参数的数学检验就是,看该参数的循环积分是否为零。对焓的微分式来说,其循环积分:()dh du d pv =+???蜒? 因为 0du =??,()0d pv =?? 所以 0dh =??, 因此焓是状态参数。 而对于能量方程来说,其循环积分: q du pdv δ=+???蜒?

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

HIT软件学院数据库实验1

哈尔滨工业大学 <<数据库系统>> 实验报告之一 (2014年度春季学期)

实验一交互式SQL语言 一、实验目的 ●掌握SQL语句的语法 ●着重熟悉掌握利用SQL编写Select查询的方法 ●熟悉SQLite的用法 二、实验内容 ●1) 双击打开sqlite3.exe,该程序为SQLite数据库管理系统 ●2) 利用.help查看SQLite支持的控制台系统命令。注意系统命令结尾处 没有结束符“;”

●3) 阅读.help中对.databases 命令的说明,并查看输出结果 ●4) 阅读.help中对.open命令的说明,并使用该命令创建一个数据库(名 字任意)后缀名统一为“.db3”(可以没有后缀名,但不推荐) ●5) 再次运行.databases 命令,与步骤3的输出结果对比 ●6) 阅读.help中对.tables命令的说明,并使用该命令查看当前数据库的所 有表 ●7) 创建满足要求的关系表(使用create table) ●表一 ●表名:College(存储大学的信息) ●属性:cName(字符串存储的大学名字),state(字符串格式的大学所在

州),enrollment(整数形式的大学入学学费) ●表二 ●表名:Student(存储学生的信息) ●属性:sID(整数形式的学号),sName(字符串形式的学生名字),GPA (小数形式的成绩),sizeHS(整数形式的所在高中规模) ●表三 ●表名:Apply(存储学生申请学校的信息) ●属性:sID(整数形式的学号),cName(字符串形式的大学名字),major (字符串形式的专业名字),decision(字符串形式的申请结果) ●8)利用.tables查看当前数据库中的表,对比步骤6中的运行结果 ●9) 利用如下命令,将存储在txt文件中的元组导入数据库的关系中●.separator "," ●.import dbcollege.txt College ●.import dbstudent.txt Student ●.import dbapply.txt Apply

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

刘朝友-装备设计大作业

机械装备大作业 卧式升降台铣床主传动系统设计 学院:机电学院 专业:机械设计制造及其自动化系 班级:1008104班 姓名:刘朝友 学号:1100801005 哈尔滨工业大学

目录 一、设计任务................................................................................................. 错误!未定义书签。 二、运动设计 (1) 1 确定转速系列 (1) 2 绘制转速图 (2) 3 确定变速组齿轮传动副的齿数及定比传动副带轮直径 (3) 4 绘制传动系统图 (5) 5 核算主轴转速误差 (6) 三、动力设计 (7) 1 传动轴的直径的确定 (7) 2 齿轮模数的初步计算 (8) 3、选择带轮传动带型及根数 (9) 参考文献 (9)

一、设计任务 设计题目:卧式升降台铣床主传动系统设计 已知条件:工作台面积320×12500mm2,最低转速31.5r/min ,公比φ=1.41,级数Z=12,切削功率N=5.5KW 。 设计任务: 1. 运动设计:确定系统的转速系列;分析比较拟定传动结构方案;确定传动副的传动 比和齿轮的齿数;画出传动系统图;计算主轴的实际转速与标准转速的相对误差。 2. 动力设计:确定各传动件的计算转速;初定传动轴直径、齿轮模数;选择机床主轴 结构尺寸。 二、运动设计 1、确定转速系列 已知最低转速为31.5r/min ,公比?=1.41,查教材表标准转速系列的本系统转速系列如下: 31.5 45 63 90 125 180 250 355 500 710 1000 1400r/min 则转速的调整范围max min 1400 44.4431.5 n n R n = == 1)传动组和传动副数可能的方案有: 12=4?3 12=3?4 12=3?2?2 12=2?3?2 12=2?2?3 前两个方案虽然可以减少轴的数目,但有一个传动组内有四个传动副。若采用一个四连滑移齿轮,则会增加轴向尺寸;若用两个双联滑移齿轮,操纵机构必须互锁防止两滑移齿轮同时啮合。故不采用。 对于后三个方案,遵循传动副“前多后少”的原则,选取方案12=3?2?2 2)确定结构式 12=3?2?2方案中,因基本组和扩大组排列顺序的不同而有以下6种扩大顺序方案: 63122312??=, 61222312??=, 16222312??= 36122312??=, 21422312??=, 12422312??= 方案1,2,3,4的第二扩大组26x =,2p =2,则2r = max ) 12(68r ==-??是可行的。方案5,6中,2x =4,23p =,则2r = max ) 13(416r ?=-??,不可行。 在可行的1,2,3,4方案中,为使中间传动轴变速范围最小,采用扩大顺序与传动顺序 一致的传动方案1,13612322=??。

机械制造装备设计大作业

《机械制造装备设计》大作业 一、大作业类型: 1.设计类 2.论文类 二、周知: 每位同学在课程结束前(16周)至少上交一份大作业作为本课程的考核材料,占课程总成绩的70%。电子版和打印版各一份,由各班学习委员收齐上交,电子版由各班学习委员刻录光盘后统一上交存档。 三、设计或撰写要求 (一)设计类 1. 机床主传动系统设计 设计目的:通过机床主传动系统设计,使学生进一步理解设计理论,得到设计构思、方案分析、零件计算、查阅技术资料等方面的综合训练,树立正确的设计思想,掌握基本的设计方法,培养学生具有初步机械系统设计和计算能力。 设计内容:机床主传动系统设计的运动设计和动力设计 (1)运动设计 根据给定的机床用途、规格、极限速度、转速数列公比(或转速级数),分析、比较、拟定传动结构方案(包括结构式、转速图和传动系统图),确定传动副的传动比及齿轮的齿数,并计算主轴的实际

转速与标准转速的相对误差。 (2)动力设计 根据给定的电动机功率和传动件的计算转速,初步计算传动轴直径、齿轮模数;确定皮带类型及根数,确定机床主轴结构尺寸。 设计要求: (1)机床的规格及用途; (2)运动计; (3)动力设计(包括零件及组件的初算); (4)其它需要说明或论证的问题; (5)参考文献。 机床主传动系统设计大作业篇幅不少于3000字。要求论证充分、计算正确、叙述简明、条理清楚、合乎逻辑、词句通顺、标点正确、文字工整、图表清晰。 大作业后须附有参考文献目录,包括作者、书刊名称、出版社和出版年份。在说明书中引用所列的参考文献时,只在方括号里注明所列文献序号即可。 设计题目:XX机床主传动系统运动和动力设计 (三相4极异步电机,同步转速1500rpm)

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

哈工大机械制造大作业

哈工大机械制造大作业

一、零件分析 题目所给的零件是CA6140车床的拨叉。它位于车床变速机构中,主要起换档,使主轴回转运动按照操作者的要求工作,获得所需的速度和扭矩的作用。零件上方的孔与操纵机构相连,二下方的Φ55叉口则是用于与所控制齿轮所在的轴接触,拨动下方的齿轮变速。 其生产纲领为批量生产,且为中批生产。

图1-1 CA6140拨叉零件图 二、零件的工艺分析 零件材料采用HT200,加工性能一般,在铸造毛坯完成后,需进行机械加工,以下是拨叉需要加工的表面以及加工表面之间的位置要求:

1、小头孔Φ25:该加工面为内圆面,其尺寸精度要求为; 2、叉口半圆孔Φ55:该加工面为内圆面,其尺寸精度要求为; 3、拨叉左端面:该加工面为平面,其表面粗糙度要求为,位置精度要求与内圆面圆心距离为; 4、叉口半圆孔两端面,表面粗糙度要求为,其垂直度与小头孔中心线的垂直度为; 5、拨叉左端槽口,其槽口两侧面内表面为平面,表面粗糙度要求为,其垂直度与小头孔中心线的垂直度为0.08mm。 6、孔圆柱外端铣削平面,加工表面是一个平面,其表面粗糙度要求为。 三、确定毛坯

1、确定毛坯种类: 零件材料为,查阅机械制造手册,有,考虑零件在机床运行过程中受冲击不大,零件结构又比较简单,故选择铸造毛坯。 图3-1 毛坯模型 2、毛坯特点: (1)性能特点: (2)结构特点:一般多设计为均匀壁厚,对于厚大断面件可采用空心结构。CA6140拨叉厚度较均匀,出现疏松和缩孔的概率低。 (3)铸造工艺参数: 铸件尺寸公差:铸件公称尺寸的两个允许极限尺寸之差成为铸件尺寸公差。成批和大量生产

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

机械制造装备设计大作业指导书2013

机械制造装备设计大作业指导书 2013年3月

目录 1.机械制造装备设计大作业的目的 (1) 2.机械制造装备设计大作业的内容 (1) 2.1运动设计 (1) 2.2动力设计 (1) 3.机械制造装备设计大作业的要求 (1) (1)机床的规格及用途; (1) (2)运动设计; (1) (3)动力设计(包括零件及组件的初算); (1) (4)画传动系统图; (1) (5)其它需要说明或论证的问题; (1) (6)参考文献。 (1) 4.进行机械制造装备设计大作业的步骤和方法 (1) 4.1明确题目要求、查阅有关资料 (1) 4.2运动设计 (2) (1)确定极限转速 (2) (2)确定公比 (2) (3)求出主轴转速级数z (2) (4)确定结构网或结构式 (2) (5)绘制转速图 (2) (6)绘制传动系统图 (3) (7)确定变速组齿轮传动副的齿数 (3) (8)核算主轴转速误差 (4) 4.3动力设计 (4) 1.传动轴直径初定 (5) 2.主轴轴颈直径的确定 (5) 3.齿轮模数的初步计算 (5) 5.大作业题目 (7) 参考文献 (10)

1.机械制造装备设计大作业的目的 机械制造装备设计大作业,是机械制造装备设计课程进行过程中的一个重要教学环节。其目的在于通过机床主传动系统设计,使学生进一步理解设计理论,得到设计构思、方案分析、零件计算、查阅技术资料等方面的综合训练,树立正确的设计思想,掌握基本的设计方法,培养学生具有初步机械系统设计和计算能力。 2.机械制造装备设计大作业的内容 运动设计 根据给定的机床用途、规格、极限速度、转速数列公比(或转速级数),分析比较拟定传动结构方案(包括结构式和结构网,转速图)和传动系统图,确定传动副的传动比及齿轮的齿数,并计算主轴的实际转速与标准转速的相对误差。 动力设计 根据给定的电动机功率和传动件的计算转速,初步计算传动轴直径、齿轮模数;确定皮带类型及根数、摩擦片式离合器的尺寸和摩擦片数及制动器尺寸、选择机床主轴结构尺寸。 3.机械制造装备设计大作业的要求 (1)机床的规格及用途; (2)运动设计; (3)动力设计(包括零件及组件的初算); (4)画传动系统图; (5)其它需要说明或论证的问题; (6)参考文献。 机械装备设计大作业篇幅不少于四千字。要求论证充分、计算正确、叙述简明、条理清楚、合乎逻辑、词句通顺、标点正确、文字工整、图表清晰。 大作业中所用公式应注明出处,并注明式中符号所代表的意义和单位。单位一律采用法定单位,单位符号在公式、计算结果、图表、数据、标牌中应优先采用单位符号。 大作业后须附有参考文献目录,包括作者、书刊名称、出版社和出版年份。在说明书中引用所列的参考文献时,只在方括号里注明所列文献序号即可。 4.进行机械制造装备设计大作业的步骤和方法 明确题目要求、查阅有关资料 学生在获得大作业的题目之后,首先应明确设计任务,并阅读《械装备设计大作业指导书》,了解大作业的目的、内容、要求和进行的步骤。然后在教师的指导下,拟定工作进度计划;查阅必要的图书、杂志、手册、图册、产品图纸、同类型机床说明书和其它有关设计参考资料;熟悉机床专业标准,便于设计时采用。对机床的用途、特点、主要参数、传动结

哈工大机械设计大作业

哈尔滨工业大学 机械设计作业设计计算说明书 题目: 轴系部件设计 系别: 英才学院 班号: 姓名: 刘璐 日期: 2016.11.12

哈尔滨工业大学 机械设计作业任务书 题目: 轴系部件设计 设计原始数据: 图1 表 1 带式运输机中V 带传动的已知数据 方案 d P (KW ) (/min)m n r (/min)w n r 1i 轴承座中 心高H (mm ) 最短工作 年限L 工作环境 5.1.2 4 960 100 2 180 3年3班 室外 有尘 机器工作平稳、单向回转、成批生产

目录 一、带轮及齿轮数据 (1) 二、选择轴的材料 (1) 三、初算轴径d min (1) 四、结构设计 (2) 1. 确定轴承部件机体的结构形式及主要尺寸 (2) 2. 确定轴的轴向固定方式 ................................................................................ 错误!未定义书签。 3. 选择滚动轴承类型,并确定润滑、密封方式 ....................................... 错误!未定义书签。 4. 轴的结构设计 .................................................................................................. 错误!未定义书签。 五、轴的受力分析 (4) 1. 画轴的受力简图 (4) 2. 计算支承反力 (4) 3. 画弯矩图 (5) 4. 画扭矩图 (5) 六、校核轴的强度 (5) 七、校核键连接的强度 (7) 八、校核轴承寿命 (8) 1. 计算轴承的轴向力 (8) 2. 计算当量动载荷 (8) 3. 校核轴承寿命 (8) 九、绘制轴系部件装配图(图纸) (9) 十、参考文献 (9)

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

哈工大机械制造装备设计大作业

Harbin Institute of Technology 机械制造装备设计 大作业 题目:无丝杠车床主传动系统设计学院:机电工程学院 班级: 姓名: 学号:

?哈尔滨工业大学 哈尔滨工业大学 机械制造装备设计大作业题目:无丝杠车床主传动系统设计

目录 一、运动设计 (3) 1 确定极限转速 (3) 2 确定公比 (3) 3 求出主轴转速级数 (3) 4 确定结构式 (3) 5 绘制转速图 (4) 6 绘制传动系统图 (5) 7 确定变速组齿轮传动副的齿数 (6) 8 校核主轴转速误差 (6) 二、动力设计 (7) 1 传动轴的直径确定 (7) 2 齿轮模数的初步计算 (7) 参考文献 (9)

设计任务 设计题目:无丝杠车床主传动系统设计 已知条件:最大加工直径ф400mm,最低转速40r/min,公比φ=1.41,级数Z=11,切削功率N=5.5KW。 设计任务: 1.运动设计:确定系统的转速系列;分析比较拟定传动结构方案;确定传动副的传动比和齿轮的齿数;画出传动系统图;计算主轴的实际转速与标准转速的相对误差。 2.动力设计:确定各传动件的计算转速;初定传动轴直径、齿轮模数;选择机床主轴结构尺寸。 一、运动设计 1. 确定极限转速 已知最低转速为40r/min,公比φ=1.41,参考文献[1]表4-2标准转速系列的本系统转速系列如下:40 57 80 113 160 226 320 453 640 905 1280 r/min,则转速的调整范围max min 1280 32 40 n n R n ===。 2. 确定公比 根据设计数据,公比φ=1.41。 3. 求出主轴转速级数Z 根据设计数据,转速级数Z=11。 4.确定结构式 (1)确定传动组和传动副数 由于总级数为11,先按12设计再减掉一组。共有以下几种方案: 12=4×3 12=3×4 12=3×2×2 12=2×3×2 12=2×2×3 根据传动副前多后少原则,以减少传动副结构尺寸选择第三组方案,即: 12=3×2×2 (2)确定结构式 按前疏后密原则设计结构式中的级比指数,得到: 12=3 1×2 3 ×2 6 减掉一组转速为:

(完整版)哈尔滨工业大学数据库试题(含答案)

试卷一(哈尔滨工业大学) 一、选择题(每题1分,共20分) 1.在数据管理技术的发展过程中,数据独立性最高的是()阶段。 A. 数据库系统 B. 文件系统 C. 人工管理 D. 数据项管理 2. ()是存储在计算机内的有结构的数据集合。 A. 网络系统 B. 数据库系统 C. 操作系统 D. 数据库 3. 在数据库的三级模式结构中,描述数据库中全体数据的全局逻辑结构和特征的是()。 A. 外模式 B. 内模式 C. 存储模式 D. 模式 4. 作为关系数据系统,最小应具备的关系运算是()。 A. 排序、索引、统计 B. 选择、投影、连接 C. 关联、更新、排序 D. 显示、打印、制表 5. 在select语句中使用group by Sno时,Sno 必须出现在()子句中。 A. where B. from C. select D. having 6. 在where语句的条件表达式中,与零个或多个字符匹配的通配符是()。 A. * B. ? C. % D. _ 7. 对关系模式进行分解时,要求保持函数依赖,最高可以达到()。 A. 2NF B. 3NF C. BCNF D. 4NF 8. 在关系模式R(U,F)中,Y∈XF+是X→Y是否成立的()。 A. 充分必要条件 B. 必要条件 C. 充分条件 D. 既不充分也不必要条件 9. 在关系数据库设计阶段中,完成关系模式设计的阶段是()。 A. 需求分析阶段 B. 概念设计阶段 C. 逻辑设计阶段 D. 物理设计阶段 10. 基本E-R图就是数据库的()。 A. 外模式 B. 逻辑模式 C. 内模式 D. 概念模式 11. 从数据流图构造E-R图时,选择实体一般应先考虑数据流图中的()。 A. 数据项 B. 数据流 C. 数据处理 D. 数据存储 12. 以下()不是当前常用的存取方法。 A. 索引方法 B. 聚簇方法 C. HASH方法 D. 链表方法 13. 事务一旦提交,对数据库的改变是永久的,这是事务的()。 A. 原子性 B. 一致性 C. 隔离性 D. 持久性 14. 并发控制要解决的根本问题是保持数据库状态的()。 A. 安全性 B. 完整性 C. 可靠性 D. 一致性 15. 在数据库系统中,对存取权限的定义称为()。 A. 授权 B. 定义 C. 约束 D. 审计 16. 视图建立后,在数据字典中存放的是()。 A. 查询语句 B. 视图的定义 C. 组成视图的表内容 D. 产生视图的表定义 17. 由全码组成的关系模式,最高可以达到的模式为()。 A. 4NF B. 2NF C. 3NF D. BCNF 18. 下列叙述中,正确的是()。 A. 对于关系数据模型,规范化程度越高越好 B. 如果F是最小函数依赖集,则R∈2NF C. 如果R∈BCNF,则F是最小函数依赖集

数电大作业智能数字钟

数电大作业智能数字钟 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

智能数字钟设计? 一、问题重述? 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。要求: (1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟; (2)完成对“时”、“分”的自动校时。 二、设计目的? 1.了解智能数字钟的工作原理; 2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟; 3.正确使用multisim 软件对电路进行仿真及观察; 4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。 三、设计要求? 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频); 2.能显示时、分、秒,24小时制;3.设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

5.用同步十进制集成计数器74LS160设计一个24小时计数器;6.译码显示电路显示时间; 7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。 四、设计过程? 总体思路 由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示进制递增计数器。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。时功能的实现:用两片74LS160组成24进制递增计数器。结构框图及说明 在产生信号时可采用两种方法,方法(1)采用555定时器及分频器,而方法(2)直接利用函数信号发生器。 电路工作原理? 振荡器是数字钟的核心。振荡器的稳定度及频率的准确度决定了数字钟计时的准确程度,通常选用石英晶体构成的振荡器电路。一般来说,振荡器的频率越高,计时精度越高。如图5所示调节电阻R2可以改变输出信号频率,用以得到所需的信号频率。利用555定时器进行产生信号,形成晶振电路,如下 图二?555定时器 用三片74LS160可以构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。它的功能是产生标准秒脉冲信号。原理如下 图三?分频电路

哈工大机械装备制造大作业完整版

《机械制造装备设计》课程大作 业 院(系)外国语学院 专业英语-机械设计制造及 自动化 姓名李网 学号1121510202 班号1215102 任课教师张庆春 完成日期2015.5 哈尔滨工业大学机电工程学院 2015年5月

题目:无丝杠车床主传动系统运动和动力设计 设计要求: 序号机床主参数公比φ最低转速级数Z 功率(kW)2 最大加工直径φ320mm无丝杠车床 1.41 30 12 3

目录 一、运动设计 (1) 1 确定极限转速 (1) 2 确定公比 (1) 3 求出主轴转速级数 (1) 4 确定结构式 (1) 5 绘制转速图 (1) 6 绘制传动系统图 (3) 7 确定变速组齿轮传动副的齿数 (3) 8 校核主轴转速误差 (4) 二、动力设计 (5) 1 传动轴的直径确定 (5) 2 齿轮模数的初步计算 (6) 参考文献 (8)

一、运动设计 1、 确定极限转速 根据设计参数,主轴最低转速为31.5r/min ,级数为12,且公比φ=1.41。于是可以得到主轴的转速分别 30, 42.5, 60, 85, 118, 170, 236, 335, 475, 670, 950, 1320 r/min ,则转速的调整范围max min 1320 4430 n n R n ===。 2、 确定公比φ 根据设计数据,公比φ=1.41。 3、 求出主轴转速级数Z 根据设计数据,转速级数Z=12。 4、 确定结构式 按照主变速传动系设计的一般原则,选用结构式为13612322=??的传动方案。其最后扩大组的变速范围6(21)3 1.2688R ?-==≤,符合要求,其它变速组的变速范围也一定符合要求。 5、 绘制转速图 (1)选定电动机 根据设计要求,机床功率为3KW ,可以选用Y100L2-4,其同步转速为 1500r/min ,满载转速为1420r/min ,额定功率3KW 。 (2)分配总降速传动比 总降速传动比为min 30 0.02111420 d n u n ∏= ==,又电动机转速1440/min d n r = 不在所要求标准转速数列当中,因而需要用带轮传动。 (3)确定传动轴的轴数 轴数=变速组数+定比传动副数=3+1=4。

完整版哈尔滨工业大学数据库试题含答案

试卷一(哈尔滨工业大学) 一、选择题(每题1 分,共20 分) 1. 在数据管理技术的发展过程中,数据独立性最高的是( )阶段。 A. 数据库系统 B. 文件系统 C. 人工管理 D. 数据项管理 2. ( )是存储在计算机内的有结构的数据集合。 A. 网络系统 B. 数据库系统 C. 操作系统 D. 数据库 3. 在数据库的三级模式结构中,描述数据库中全体数据的全局逻辑结构和特征的是 ( )。 A. 外模式 B. 内模式 C. 存储模式 D. 模式 4. 作为关系数据系统,最小应具备的关系运算是( )。 A. 排序、索引、统计 B. 选择、投影、连接 C. 关联、更新、排序 D. 显示、打印、制表 5. 在select 语句中使用group by Sno 时,Sno 必须出现在( )子句中。 A. where B. from C. select D. having 6. 在where 语句的条件表达式中,与零个或多个字符匹配的通配符是( )。 A. * B. ? C. % D. _ 7. 对关系模式进行分解时,要求保持函数依赖,最高可以达到( )。 A. 2NF B. 3NF C. BCNF D. 4NF 8. 在关系模式R ( U, F)中,Y XF+是X^Y是否成立的( )。 A. 充分必要条件 B. 必要条件 C. 充分条件 D. 既不充分也不必要条件 9. 在关系数据库设计阶段中,完成关系模式设计的阶段是( )。 A. 需求分析阶段 B. 概念设计阶段 C. 逻辑设计阶段 D. 物理设计阶段 10. 基本E-R 图就是数据库的( )。 A. 外模式 B. 逻辑模式 C. 内模式 D. 概念模式 11. 从数据流图构造E-R 图时,选择实体一般应先考虑数据流图中的( )。 A. 数据项 B. 数据流 C. 数据处理 D. 数据存储 12. 以下( )不是当前常用的存取方法。 A. 索引方法 B. 聚簇方法 C. HASH 方法 D. 链表方法 13. 事务一旦提交,对数据库的改变是永久的,这是事务的( )。 A. 原子性 B. 一致性 C. 隔离性 D. 持久性 14. 并发控制要解决的根本问题是保持数据库状态的( )。 A. 安全性 B. 完整性 C. 可靠性 D. 一致性 15. 在数据库系统中,对存取权限的定义称为( )。 A. 授权 B. 定义 C. 约束 D. 审计 16. 视图建立后,在数据字典中存放的是( )。 A. 查询语句 B. 视图的定义 C. 组成视图的表内容 D. 产生视图的表定义 17. 由全码组成的关系模式,最高可以达到的模式为( )。 A. 4NF B. 2NF C. 3NF D. BCNF 18. 下列叙述中,正确的是( )。 A. 对于关系数据模型,规范化程度越高越好 B. 如果F是最小函数依赖集,则R€ 2NF

数电大作业流水灯

数电大作业流水灯

综合设计题 一.流水灯 1.总体思路 8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制 2.使用元件 3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。 3.电路原理框图 4.元器件在本电路中的主要功能 ○1555定时器 555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而 可以改变灯亮时间,而且它的振荡周期为T=0.7 (R1+2R2)C。此处C=0.1uF.由电路参数可知,当 R1为10kΩ时,灯亮时间为0.0014s.它的功能主 要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上 的同相 电压,当 5 脚悬空时,则电压比较器 C 1 的反相输入端的电 输入端的电压为 2VCC /3,C 2 压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如 2

果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C 1的输出为 0,C 2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。 电路图如下: ○2 74LS161计数器 74LS161计数器在本电路中的作用是产生000-111脉冲控制 74LS138的A 2A 1 A ,依次选通Y -Y 7 。产生脉冲序列也可以用74LS191是 四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲序号,时钟脉冲外部接入,原理图如下图所示

相关主题
文本预览
相关文档 最新文档