当前位置:文档之家› 西电数电大作业

西电数电大作业

西电数电大作业
西电数电大作业

题目:汽车尾灯控制电路

与流水灯

授课老师:孙万蓉

学院:电子工程学院

专业:电子信息工程

学生姓名:XXXX

第 1 页共1 页

第 2 页 共 2 页

学 号: XXXXXX

一、汽车尾灯控制电路

1、设计任务

◆ 设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模拟),当在

汽车正常运行时指示灯全灭;

◆ 在右转弯时,右侧3个指示灯按右循环顺序点亮(R 1→R 1R 2→R 1R 2R 3→全灭→R 1)时间间

隔0.5S (采用一个2HZ 的方波源);

◆ 在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1L 2→L 1L 2L 3→全灭→L 1); ◆ 在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1R 2R 3 L 1L 2L 3点亮); ◆ 当汽车后退的时候所有灯循环点亮;

◆ 当晚上行车的时候汽车灯的最下一个灯一直点亮。

2、设计思路

由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是

不同的,所以用74LS138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74LS160,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,可得到如下逻辑功能表:

汽车尾灯和汽车运行状态表

开关控制 汽车运行状态 右转尾灯 左转尾灯 S0 S 1 S 2 R 1R 2R 3 L 1L

2L 3 0 0 0 正常运行 灯灭 灯灭

0 0 1 左转弯 灯灭

按L 1L 2L 3顺序循环点亮

0 1 0 右转弯 按R 1R 2R 3顺序循环点亮

灯灭

0 1 1 临时刹车/检测

所有尾灯同时点亮 1 0 0 倒车 所有尾灯按照转弯次序点亮

1 0 1

晚上行车时

R 3 ,L 3一直点亮

3、选用仪器

74LS138译码器、计数器74LS160、555多谐振荡器

4、电路分部设计

◆ 时钟信号源(CLK )

①.设计说明:

由于汽车灯是的点亮是给人的不同的信息及该车将要发生的动作,所以汽车的灯在

闪烁的时候不能超过一定的频率,但是频率也不能太小,所以我们在设计的时候是采用的555定时器设计的一个脉冲产生源,占空比约为50%,它产生的频率f 约为2HZ 。然后通过计数器就能控制汽车灯在循环点亮的时候时间间隔约为0.5S ,这样就能让人很清楚的明白该汽车的动作以采取相应的动作

第 3 页 共 3 页

从而避免交通事故的发生。

②.设计计算公式(对应右图):

高电平时间: C R R t ph

)(7.021+= 低电平时间:

C R t

pl

27.0=

占 空 比: R

R R

t t

t pl

ph

ph

D 22

1

2+=

+=

③.设计最后图形如右图所示: 高电平时间 t

ph

=250.0ms

低电平时间

t

pl

=213.9ms

占 空 比 D=53.8% 频 率 f=2.158

④.仿真波形以及连接图形:

其中端口3为输出端,使用的时候只要将端口3接到CLK 端即可。

仿真结果如下所示:

分析:

根据右图分析结果,与预期结果一直,故设计正确。

◆主电路设计:

①.思路说明:

实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。根据表1具体实现如下:

?当S2 S1 S0 =000时候汽车处于正常行驶,尾灯完全处于熄灭状态,所以通过74138译码

后为“11111110”不做任何处理。

?当S2 S1 S0 =001时候汽车左转,所以汽车尾灯的左面3个灯按照L1→L1L2→L1L2L3→全

灭→L1……顺序循环点亮,具体实现是通过74138对“001”译码为“11111101”然后通过译码后的低电平Y1通过一个非门控制计数器74160的ENT和ENP端开始计数,从而控制灯的点亮方式,计数范围为0000(全灭)→0001(L1点亮)→0010(L1L2点亮)→0011(L1L2L3点亮)→0100(异步清零)→0000……循环计数就实现了循环点亮的这个过程。由于记数脉冲是由555定时器产生的频率约为2HZ方波,所以循环点亮这个过程所需要的时间约为0.5S*4。

?当S2 S1 S0 =010时候汽车右转,所以汽车尾灯右面3个灯按照R1→R1R2→R1R2R3→全灭→

R1……顺序循环点亮,具体实现是通过74138对“010”译码为“11111011”然后通过译码后的低电平Y2通过一个非门控制计数器74160的ENT和ENP端开始计数,从而控制灯的点亮方式,计数范围为0000(全灭)→0001(R1点亮)→0010(R1R2点亮)→0011(R1R2R3点亮)→0100(异步清零)→0000……循环计数就实现了循环点亮的这个过程。

由于记数脉冲是由555定时器产生的频率约为2HZ方波,所以循环点亮这个过程所需要的时间约为0.5S*4。

?当S2 S1 S0 =011时候汽车处于刹车状态或者处于检测汽车尾灯是否正常,所以汽车的

尾灯全亮,具体实现是通过74138对“011”译码为“11110111”然后通过译码后的低电平Y3通过一个非门直接控制所有的尾灯点亮。

?当S2 S1 S0 =100时候汽车处于倒车状态,倒车的时候汽车所有的尾灯按照转弯时候的顺

序点亮灯。具体实现是通过74138对“100”译码为“11101111”,然后通过译码后的低

第 4 页共4 页

电平Y4通过一个非门控制另外一片计数器74160的ENT和ENP端开始计数,从而控制灯的点亮方式,计数范围为0000(全灭)→000(R1,L1点亮)→0010(R1R2,L1L2点亮)→0011(R1R2R3。L1L2L3点亮)→0100(异步清零)→0000……循环计数就实现了循环点亮的这个过程。由于记数脉冲是由555定时器产生的频率约为2HZ方波,所以循环点亮这个过程所需要的时间约为0.5S*4。

?当S2 S1 S0 =101时候汽车处于晚上行车状态,此时汽车尾灯的左右两端的最下面一个

灯一直点亮即L3。R3一直处于亮的状态。具体实现是通过74138对“101”译码为“11011111”然后通过译码后的低电平Y5通过一个非门直接控制L3。R3点亮。

②.分步仿真:

?汽车左转弯的仿真

左转弯仿真电路

左转弯仿真波形

仿真波形分析:如上图所示:

当S2 S1 S0 =001时候,L3L2 L1变化顺序为:000 001 011 111 000,由于输出为高电平时灯亮,所以尾灯的点亮方式为:L1→L1L2→L1L2L3→全灭→L1……

当S2 S1 S0 =000的时候,R3 R2R1,L1L2L3恒为000,所以所有灯熄灭与实际相符合,所以正确。

?汽车左右转弯仿真。

第 5 页共5 页

左右转弯仿真电路

左右转弯仿真波形

仿真波形分析:根据上图所示:

当S2 S1 S0 =001时候,L3L2L1变化顺序为:000 001 011 111 000,R3R2R1=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:L1→L1L2→L1L2L3→全灭→L1……R3 R2R1恒为熄灭;

当S2 S1 S0 =010的时候,R3R2R1变化顺序为:000 001 011 111 000,L1L2L3=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:R1→R1R2→R1R2R3→全灭→R1……L1L2L3恒为熄灭。

当S2 S1 S0 =000的时候,R3 R2R1,L1L2L3恒为000,所以所有灯熄灭。

经过分析与实际相符合,所以仿真正确。

汽车左右转弯和刹车的仿真。

第 6 页共6 页

汽车左右和刹车仿真电路

汽车左右和刹车仿真波形

波形分析:根据图有当S2 S1 S0 =001时候,L3L2 L1变化顺序为:000 001 011 111 000,R3 R2R1=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:L1→L1L2→L1L2L3→全

灭→L1……R3R2R1恒为熄灭;当S2 S1 S0 =010的时候,R3R2R1变化顺序为:000 001 011 111 000,L1L2L3=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:R1→R1R2→R1R2

R3→全灭→R1……L1L2L3恒为熄灭。

S2 S1 S0 =011的时候,R3 R2R1,L1L2L3恒为111,所以所有灯全亮。

S2 S1 S0 =000的时候,R3 R2R1,L1L2L3恒为000,所以所有灯熄灭。

经过分析与实际相符合,所以仿真正确。

左右转弯刹车和倒车仿真。

第7 页共7 页

汽车左右转弯刹车和倒车仿真电路

汽车左右转弯刹车和倒车仿真波形

波形分析:根据图9有当S2 S1 S0 =001时候,L3L2L1变化顺序为:000 001 011 111 000,R3 R2R1=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:L1→L1L2→L1L2L3→全

灭→L1……R3 R2R1恒为熄灭;S2 S1 S0 =010的时候,R3 R2R1变化顺序为:000 001 011 111 000,L1L2L3=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:R1→R1R2→R1R2

R3→全灭→R1……L1L2L3恒为熄灭。

S2 S1 S0 =011的时候,R3 R2R1,L1L2L3恒为111,所以所有灯全亮。

S2 S1 S0 =100的时候,R3 R2R1,L3 L2L1变化顺序都为:000 001 011 111 000

所以尾灯的点亮方式为:全灭 R1,L1 R1R2,L1L2 R1R2R3。L1L2L3 全灭

S2 S1 S0 =000的时候,R3 R2R1,L1L2L3恒为000,所以所有灯熄灭。

经过分析与实际相符合,所以仿真正确。

左右转弯刹车倒车和晚上行车仿真。

第8 页共8 页

左右转弯刹车倒车和晚上行车仿真电路图

左右转弯刹车倒车和晚上行车仿真波形

波形分析:根据图有当S2 S1 S0 =001时候,L3L2 L1变化顺序为:

000 001 011 111 000,R3R2R1=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:L1→L1L2→L1L2L3→全灭→L1……R3 R2R1恒为熄灭;

S2 S1 S0 =010的时候,R3 R2R1变化顺序为:

000 001 011 111 000,L1L2L3=000由于输出为高电平时灯亮,所以尾灯的点亮方式为:R1→R1 R2→R1 R2 R3→全灭→R1……L1L2L3恒为熄灭。

S2 S1 S0 =011的时候,R3 R2R1,L1L2L3恒为111,所以所有灯全亮。

S2 S1 S0 =100的时候,R3 R2R1,L3 L2L1变化顺序都为:

000 001 011 111 000所以尾灯的点亮方式为:全灭 R1,L1 R1R2,L1L2 R1R2R3。L1L2L3 全灭

S2 S1 S0 =101的时候,R3 R2R1,L3 L2L1为100所以R3, L3亮。

S2 S1 S0 =000的时候,R3 R2R1,L1L2L3恒为000,所以所有灯熄灭。

第9 页共9 页

经过分析与实际相符合,所以仿真正确。

综上可得:此电路设计正确。

5、整体原理图

6、设计总结

本次设计基本实现了汽车在运行时候尾灯点亮方式的各种情况。其次由于在行车的时候都是用开关控制的,所以每一个开关应该有一个消除机械振动的装置,可以利用基本RS 触发器来实现。在设计中可以再多用一个74LS160来做,从而简化电路图。所以如果在时间允许的条件下可以对这一系列的不足进行解决,从而是整个系统更加可靠。

二、流水灯

1.设计任务

要求电路开启后,红黄绿三种颜色的灯在时钟信号作用下按以下规律转换状态。电路启动后,要求红黄绿三种颜色的灯在脉冲作用下顺序,循环点亮。红黄绿灯每次亮的时间分别为5秒,5秒,10秒。

2、设计思路

接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS161计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位计数器。进而红黄绿三灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。

3、选用仪器

555占空比可调振荡器、74LS161计数器、74LS194移位计数器以及发光二极管。

第10 页共10 页

第 11 页 共 11 页

4、电路分部设计

先用555定时器用来生成1s 标准单位cp 脉冲,把脉冲给计数器74LS161,通过74LS161形成模5加法计数器,再将74LS161输出信号供给74LS194,74LS194移位寄存器输入端置位1000,Q 0接红灯,Q 1接黄灯并把Q 2和Q 3接一个异或门再连到一个绿灯,把74LS194接成环形计数器,就能实现基本电路要求。 ◆ 占空比可调的多谐振荡器 电路图如下:

仿真电路如下:

把它接成占空比可调振荡器:VCC=5V,R A =714K Ω,R B =714K Ω,C=1uF,实现单位1s 的脉冲CP;将它输出的脉冲加到74LS160的CP 端。2.5s 为单位的脉冲设计电路74LS161,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片。

仿真电路如图:实现模5计数器,用它的后五个状态,把ABCD 预置到1011端,RCO 端输出到下一个芯片74LS194的CP 端。

◆ 移位寄存设计电路

R A

R B

R 1 R 2

R 3

D 2 + - C

v C D 1

8 4 7 6

2 1

5

3

555 V CC v O

0.01 F

74LS194目的:用其输出端对三个彩灯分别进行循环循环控制

把CLR接高电平,SR接到QD端,实现环形计数器,ABCD预置1000,SL置空, A接红灯B 接黄灯C和D通过一个或非门接一个绿灯,CP接上一个芯片来的脉冲,要实现开启电路时红灯亮,还要向电路送数,ABCD通过同或门接到S1端,S0接高电平,当开启电源时,ABCD 通过同或门向S1端输入高电平,达到S1 S0都为高电平,这样就像芯片送数1000,红灯亮,这是ABCD通过同或门向S1送低电平,这时芯片就会1000→0100→ 0010→ 0001→1000→0100→0010→0001实行循环,这样三个灯就会在5s为单位的脉冲控制下依次点亮并循环。

5、整体原理图

6、设计总结

通过对本课件的制作,清楚地看到了自己的知识薄弱的方面,各个知识点没有联会贯穿,有些芯片的掌握不够准确。

在做此课件的过程中,充分体会到了实际操作的重要性。如果没有同学的指点,可能自己会在一些地方打转弯,浪费掉很多时间。通过这次课程设计,可以很好的把各个章节的模

第12 页共12 页

块融合到一起,对以后的学习,设计很有帮助。

三、参考文献

《现代电子线路和技术实验》·孙肖子·高等教育出版社

《数字电子技术基础》·杨颂华、孙万蓉等·西安电子科技大学出版社

第13 页共13 页

电大作业

江苏开放大学实践性环节考核作业 学号 姓名杨杰 课程代码 110056 课程名称中国政治思想史 评阅教师 第次任务 共次任务 江苏开放大学 请同学们完成一篇读书报告。 首先请同学们学习第十二章第一节林则徐的有关内容,完成一篇关于林则徐学习西方思想的读书报告。 题目自拟。 字数不能少于800字。 格式要求: 题目:四号楷体。 正文:小四号宋体。 关于林则徐学习西方思想的读书报告 今天学习有关林则徐的章节,深为林则徐的精神所吸引。 1840年是中国近代史的开端,也就是从这个时候开始,伴随着西方帝国主义国家大举入侵中国,西方资产阶级的民主政治思想和文化开始大批传入中国。经过鸦片战争

的打击,大清帝国的进步官员和知识分子们,开始放眼看世界,林则徐等一批官员和知识分子开始关注西方资本主义的政治制度,军事技术等,并著作了一批介绍西方地理、政治军事制度的书籍《海国图志》《瀛环志略》等。这当中包括西方政治制度中的选举制,三权分立制等民主制度,这些都是近代中国民主思想发展的开端。 由于鸦片战争的惨痛教训,清政府开始寻求救亡图存的政策。而鸦片战争中西方帝国主义国家的坚船利炮让清政府触动最大,也就是在这背景下,清政府内的洋务派在全国各地掀起的“师夷之长技以制夷”的学习西方的改良运动。林则徐魏源等人进一步的对西方的民主制度进行了宣传并开始大量翻译外国书籍,包括政治,法律、科技、文史等各方面,开始广泛学习西方的活动。具体如下: 一、林则徐学习西方思想产生背景 二、当世界资本主义迅速发展的时候,中国还是一个封建社会,处在满族建立的清王朝的统治下。封建专制主义统治下的中国,在乾、嘉以后,衰败日剧,闭关更甚。 三、清政府的闭关锁国,阻碍了中国造船航海业的发展,阻碍了国内手工业的扩大和商品经济的发展,姐碍了资本主义萌芽的成长。而且闭关政策进一步助长了清朝统治集团置身于世界局势之外安于现状顽周保守的虚骄心理,使清代的政治更加腐败。 四、这个时期的林则徐,也和闭关时代其他开明进步分子一样,尽管对中外关系和外国情形有所触及,但严密的闭关政策和闭目塞听的风气,使他对外部世界的认识不可避免的也同样受到严重的局限。但林则徐的可贵之处,就在于他发现自己的知识不足后,没有装腔作势,反而正视现实。勇于放下架子,探求新知。他在中华民族和西方资本主义侵略势力的矛盾斗争的强烈刺激下,适应救亡图存的形式需要,由经世派而成为“开眼派”,并进而成为“学习派”,实现从开眼看现实到开眼看世界再到学习西方的连续飞跃。林则徐之所以和顽固分子不同,而且高于其他开明进步的爱国者,实现思想的连续飞跃,还有其主观因素: 五、第一,把民族自尊建立在比较科学的基础上。实事求是的作风和勇于批判现实的精神,使他有可能通过睁眼现实,比较正确的认清国情,看到“天朝上国”的腐朽与落后。 六、第二,把抵抗外国侵略建立在比较科学的基础之上。寻求“制夷之策”和富强之道的迫切愿望,使他有可能通过开眼看世界,对西方的侵略性与先进性有比较全面的了解,从而勇于抛弃夜郎自大的传统观念。 七、第三,具有敢于“触讳”、追求真理的勇气和精神。 八、二、林则徐学习西方思想的主要内容 九、林则徐认识到,要有效抵抗西方侵略,必须学习西方。发出了像西方学习的先声。在中国近代史上,第一次组织翻译班子,不拘一格,选拔熟悉外情的人才,有计划地,大规模地搜集和编译外国书报。 十、林则徐把西方各国作为一面镜子,已经参照出中国非惟在地理方位上不在世界的中心,特别是在国力方面也并不是万国来朝的中央大国。在中国近代,坦率而明确地承认西方先进和中国落后的是从林则徐开始,这是艰难而珍贵的第一步。一个国家和民族,能够认识自己不容易,反省自己的落后更不容易,特别是在中国对于自己有一种“世界中心”感觉的传统氛围中做到这一点,更是加倍地艰难。林则徐如实承认中国在船炮武器方面“不如夷”了,但却没有因此而悲观气馁、甘居下游。他认识到,当时的军事侵略不是来自比自己落后的民族,而是来自拥有船坚炮利的西方先进国家。甚至在赴戍伊犁的途中,他还念念不忘建立一支“器良、技熟、坦壮、心齐。”的新式水师。 十一、由于对世界各国的基本情况和国际关系有比较具体了解,他有效地利用了美、法两国与英国在对华贸易方面存在的矛盾,利用了英美两国尤其是英国内部均有人

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

心电信号发大器

二、设计心电信号放大电路 要求:电路总增益可调,输入阻抗≥1MΩ,共模抑制比KCMR≥80dB,带宽:;电路具有50Hz陷波功能,陷波器中心衰减大于15dB。 提示: 1.输入级采用3运放构成的仪表放大器,可以保证输入阻抗和共模抑制比足够高。 2. 陷波器可以采用带通滤波器和相加器组成的带阻滤波器或双T 带阻滤波器; 心电信号发大电路 1 人体心电信号的特点 心电信号属生物医学信号,具有如下特点: (1)信号具有近场检测的特点,离开人体表微小的距离,就基本上检测不到信号; (2)心电信号通常比较微弱,至多为mV量级; (3)属低频信号,且能量主要在几百赫兹以下; (4)干扰特别强。干扰既来自生物体内,如肌电干扰、呼吸干扰等;也来自生物体外,如工频干扰、信号拾取时因不良接地等引入的其他外来串扰等; (5)干扰信号与心电信号本身频带重叠(如工频干扰等)。 2 采集电路的设计要求 针对心电信号的上述特点,对采集电路系统的设计分析如下: (1)信号放大是必备环节,而且应将信号提升至A/D输人口的幅度要求,即至少为“V”的量级; (2)应尽量削弱工频干扰的影响; (3)应考虑因呼吸等引起的基线漂移问题; (4)信号频率不高,通频带通常是满足要求的,但应考虑输入阻抗、线性、低噪声等因素 根据题目要求,首先要进行前置放大电路设计。 前置放大电路是心电信号采集的关键环节,由于人体心电信号十分微弱,噪声强且信号源阻抗较大,加之电极引入的极化电压差值较大,这就对前级(第一级)放大电路提出了较高的要求,即要求前级放大电路应满足以下要求:

高输入阻抗;高共模抑制比;低噪声、低漂移、非线性度小;合适的频带和动态范围。 为此,选用Analog公司的仪用放大器AD620作为前级放大(预放)。AD620的核心是三运放电路(相当于集成了三个OP07运放),该放大器有较高的共模抑制比(CMRR),温度稳定性好,放大频带宽,噪声系数小且具有调节方便的特点,是生物医学信号放大的理想选择。根据小信号放大器的设计原则,前级的增益不能设置太高,因为前级增益过高将不利于后续电路对噪声的处理。 仿真过程采用O.5 MV,1.2 Hz的差分信号源以及0.5mv,50hz的干扰信号为模拟心电输入来模拟电路的放大过程。 1、前端放大器 放大倍数:A1=(27+27)/5.8 +1=10.31 2、高通滤波电路 根据题目要求,其截止频率为0.1hz,设电容C1=C2=20uF,通过式:R=1/(2πfC)可得其电

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

西电集团的实习报告

西电集团的实习报告 导读:西安交通大学西电集团实习报告 7月2日至7月4日,我们在电气工程学院老师的带领下,进行了为期3天的专业认知实习,实地参观了西电集团的大规模实验厅以及各种大规模电力设备,并到陕西省电力公司培训中心进行了参观学习。通过这三天的认知学习,我们队专业相关专业知识和原理有了更深于课本的认识。 7月2日上午,我们首先前往洗点开关电气有限公司,在工作人员,讲解人员首先带领我们认识熟悉了整个厂区的结构和环境,接下来,讲解人员对展柜中GIS开关柜进行了一一的讲解。第一次见到这些神奇的电力设备,我倍感新奇。通过聆听专业人员的讲解,并且进行网上相关资料学习,我了解到GIS,也叫高压配电装置,主要把母线、断路器、CT、CP、隔离开关、避雷器都组合在一起的开关站。GIS 的优点主要包括:1,有很高的安全性和可靠性,2 能够让变电站实现小型化。GIS中核心技术主要有绝缘涉及,通流设计,气体密封设计等。 随后,我们又去了西电变压器有限责任公司。带着安全帽的我印象最深刻的就是整个工厂里随时都有可能发生事故的吊车。据负责讲解的工作人员说,我们头顶可以人工控制的吊车重达400吨,只有用它才可以将已经缠绕拼接好的线圈从倒地状态翻身成立式状态。除此之外,我们还参观了冲剪车间,记得讲解人员讲过0,28mm的硅钢片

叠在一起,拐角处切成45度角可以将涡流减小到最小。印象最深的是可以只有两个人操作的全自动冲剪设备,大大节省了人力物力。 最后,我们去到了先高压电器研究院有限责任公司。在这里我们主要参观学习了高压检测大厅,高压试验大厅等地方,亲听电气学长讲述设备仪器功能。印象最深是高压试验大厅,四周都是钢板所建成,地板则是用铜板铺成。我们在实验大厅看到了各种高电压至几千千伏的变压器或电压发生器。如此庞然大物对我来说,看到并学习的感受可以用震撼形容。 中午我们进行午餐(我感觉西电的饭真心不错,比交大的好吃多了!)并在午餐后参加了西电集团座谈会。座谈会上,西电集团的领导,资深的技术人员们与我们对于西电集团以及未来工作规划进行了深刻的讲解与交流。我的感觉是,西电集团是个不错的工作单位,看起来收入、生活条件个方面都是十分可观的。之后的互动环节,更是令我印象深刻。西电集团的资深技术人员对我们类似未来求职困惑,未来规划、以及我们队西电集团的困惑都进行了一一详细的解答。醍醐灌顶,令人深思。印象最深刻的是夏文老师说的综合能力问题。记得她说她会因为一个人一段RAP说的很不错就拍板留下这个人,也会因为西电集团缺乏年轻人参加羽毛球赛而费解。总之,综合能力对于一个社会人来讲,是很重要,作为当代大学生,我们应当综合发展自己各方面能力。再有就是张猛老师所讲电力集团的未来发展,很多方面都是不怕做不到,只怕想不到,只要可以不断有想法,电力集团就

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

数电大作业——数字抢答器

图 1 数字抢答器框图 数字抢答器的设计 1 设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)了解面包板结构及其接线方法。 (4)了解数字抢答器的组成及工作原理。 (5)熟悉数字抢答器的设计与制作。 2 设计思路 (1)设计抢答器电路。 (2)设计可预置时间的定时电路。 (3)设计报警电路。 (4)设计时序控制电路。 3 设计过程 3.1方案论证 数字抢答器总体方框图如图1所示。 其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

3.2电路设计 抢答器电路如图2所示。 图2 数字抢答器电路 该电路完成两个功能: 一是分辨出选手按键的先后,并锁存优先抢答者的编 号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 工作过程:开关S 置于“清除”端时,RS 触发器的R 端均为0,4个触发器输出置0,使74LS148的ST =0,使之处于工作状态。当开关S 置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S 5),74LS148的输出,010012=Y Y Y ,0=EX Y 经RS 锁存后,1Q=1,BI =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q =1,使74LS148ST =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的,1=EX Y 此时由于仍为1Q =1,使ST =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置于“清除”然后再进行下一轮抢答。 (74 LS148为8线—3线优先编码器,表2.1为其真值表,图2.3为逻辑图。)

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

数电大作业流水灯

数电大作业流水灯-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

综合设计题 一.流水灯 1.总体思路 8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制 2.使用元件 3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。 3.电路原理框图 4.元器件在本电路中的主要功能 ○1555定时器 555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而 可以改变灯亮时间,而且它的振荡周期为T=0.7 (+2)C。此处C=0.1uF.由电路参数可知,当 R1为10kΩ时,灯亮时间为0.0014s.它的功能主 要由两个比较器决定。两个比较器的输出电压控 制 RS 触发器和放电管的状态。在电源与地之间加 上电压,当 5 脚悬空时,则电压比较器 C1的同相 输入端的电压为 2VCC /3,C2的反相输入端的电压 为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2的输出为 0, 可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1的输出为 0,C2的输出为 1, 可将 RS 触发器置 0,使输出为 0 电平。电路图如下:

○2 74LS161计数器 74LS161计数器在本电路中的作用是产生000-111脉冲控制 74LS138的A 2A 1 A ,依次选通Y -Y 7 。产生脉冲序列也可以用74LS191是 四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲 序号,时钟脉冲外部接入,原理图如下图所示 ○374LS138译码器

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

现代管理专题电大作业

现代管理专题选择题 1、知识经济是以( C )资产投入为主的经济。 C. 无形 2、知识经济依靠无形资产的投入实现可持续发展的前提是依靠 ( D )。 D. 世界经济一体化 3、知识经济的重要基础是( A )。 A. 知识与技术的研发 4、知识经济的发展过程中处于中心地位的是( B ) B. 信息和通讯技术 5、知识经济实现的先决条件是( C ) C.劳动力的素质和技能 6、工业经济向知识经济转变,在产业结构调整上表现为经济重心由制造业向( D )转换。 D. 服务业 7、知识经济是以( A )为导向的经济。 A. 知识决策 8、在知识经济新的市场观念下,宏观调控( B )。 B. 更为必要 9、涉及谁知道某些事和谁知道如何做某些事的信息的知识属于知道( B )的知识。 B. 谁 10、知识经济的灵魂是(B )。 B.创新 11、知道是什么的知识是指有关( C )方面的知识。 C.事实 12、经合组织认为:知识经济是建立在知识和信息的(A )、分配和使用基础之上的经济。 A.生产 13、在工业经济之后,出现了一种新的经济形态,称之为(B )。 B.知识经济 14、知道为什么的知识是指客观事物发展、变化的( D )和规律方面的知识。 D.原理 1、企业再造的成功保障是( D )。 D.以顾客为中心

2、以流程为中心的企业和以职能为中心的企业的根本不同是 ( D )。 D.企业的基本结构不同 3、流程中的所有工序分开,同时独立的进行,最后将各工序的半成品或部件进行汇总和组装。这种流程叫做(D )。 D.平行式流程 4、企业再造的最终目的是实现企业形态由传统的以职能为中心的职能导向型向新型的以( A )为中心的流程导向型的根本转变。 A.流程 5、企业再造理论是由(D )最先提出的。 D.迈克尔.哈默和詹姆斯.钱皮 6、企业再造的最终目标是将企业变成( B )。 B.流程导向型 7、在企业流程再造中,组织所担负的任务决定了,企业必须坚持( A )。 A. 以人为本的团队式管理 8、企业的业务流程是企业已输入各种原料和顾客需求为起点,到企业创造出( B )为终点的一系列活动。 B.对顾客有价值的产品(或服务) 9、企业从事生产或提供服务的基本活动组成的流程以及为这些基本活动提供支持的活性组织的流程组成了企业日常运作的( C )。 C. 经营流程 10、为完成目标而进行的一系列活动有机结合构成的流程是企业的( A )。 A. 管理流程 11、流程再造工程指导团的主要任务是( D )。 D. 制定企业再造的总体规划和决策 12、多道工序在互动的情况下同时进行,叫做( A )。 A. 同步工程 13、按流程处理对象的不同,可以分为实物流程和( B )等。 B. 信息流程 14、流程中的某一工序只有在前道工序完成的情况下才能进行,即所有工序都按先后顺序进行。这种流程叫做(C )。 C.连续式流程 1、企业知识资源的作用是(BC )。 B.是企业获取竞争优势的源泉 C.为企业创造者巨大的市场机会和财富 2、知识经济的繁荣不是直接取决于资源、资本、硬件技术的数量、规模和增量,而是直接依赖于(AC )的积累和利用。 A.知识 C.有效信息

西电新技术讲座课程大作业-并行核外矩量法

新技术讲座课程大作业报告 并行核外矩量法 学院:电子工程学院 专业:电磁场与无线技术 班级:1302061 学号: 姓名: 电子邮件: 日期: 2016 年 06 月21日 成绩: 指导教师:张玉

摘要 本文先简要介绍并行核外计算的发展现状与并行计算的核心思想及其评估方法中加速比的概念,再详写核内LU分解的推导过程并由此推广到并行核内LU分解,最后引出并行核外LU分解算法。 并行核内矩量法与并行核外矩量法比较是本文核心,以求导体球的散射模型为例,比较并行核内矩量法与并行核外矩量法,发现并行核外矩量法比并行核内矩量法填充阶段时间消耗多2-3倍,并且二者的加速比均不理想。同时也发现并行核外矩量法在填充阶段所消耗的时间比并行核内矩量法多了不到一倍,结合在大规模电磁计算中计算机内存的重要性,得出并行核外矩量法在大规模计算中以少量的的额外时间消耗换来计算机内存的合理利用的结论。 总而言之,为了突破计算机内存大小的限制,并行核外矩量法为实际的工程电磁计算提供了一种综合效率较高的选择方案。 关键词:并行核外矩量法加速比计算机内存工程电磁计算

一、 并行核外计算发展现状 计电磁学发展至今,应用范围越来越广,近些年来更是在电大尺寸平台中得到了快速发展。由于电大尺寸平台下所解决的问题复杂,研究目标不论是形状还是环境都很繁杂。在采用矩量法分析后,虽然可以得到很高的精度,但却面临着庞大的矩阵规模。引入机群处理后,设计并行计算来处理需要很大的内存,种种原因的折衷结果就是引入核外空间存储该矩阵,然后分块读取和处理,最后计算出所需的各类参数,引出目标体相应的特性。 二、并行计算 2.1并行计算简介 并行计算(parallel computing )是将某一个运算任务进行分解,,然后将分解后所得的子任务交给各个很多处理器进行运算处理。在运算过程中,每个处理器之间实时进行数据通信和协同运算,并完成了子任务。在这一基础上,整个运算的速度大大提高,求解计算速度效率显著增强,计算的规模可以成倍增加。通过并行计算的定义可以看出,并行计算至少需要两台以上的计算机同时运行,且每台计算机之间可以实时进行数据交换;待处理的运算任务可以被划分成多个子任务,并且,每个子运算任务可以并行在各个计算机处理器上同时计算,还要有固定的程序对各个处理器上的数据编程处理,汇总运算结果,最终达到并行计算的目的。 2.2并行算法评估 评估手段有很多,这里重点介绍加速比的概念:在处理器资源独享的情况下,单个处理器进行计算所需的时间比多个处理器在相同环境下处理同一个任务时所需时间的比值,称为加速比 公式定义为加速比(P 个处理器):1p 2 t S t (2-1) 其中1t 是指单个处理器完成真个运算任务所需的时间,2t 是指P 个处理器在并行算法下运算同一个任务所需要的时间。

数电大作业智能数字钟

数电大作业智能数字钟 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

智能数字钟设计? 一、问题重述? 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。要求: (1)完成设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟; (2)完成对“时”、“分”的自动校时。 二、设计目的? 1.了解智能数字钟的工作原理; 2.设计出一个能实现清零、进位、显示时分秒等功能的智能数字钟; 3.正确使用multisim 软件对电路进行仿真及观察; 4.通过此次设计实验加深对3—8译码器、计数器等集成逻辑芯片的理解和运用。 三、设计要求? 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频); 2.能显示时、分、秒,24小时制;3.设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

5.用同步十进制集成计数器74LS160设计一个24小时计数器;6.译码显示电路显示时间; 7.用与非门芯片及一些基本芯片设计一个可以自动校时的电路。 四、设计过程? 总体思路 由秒及分的60进制,分别到59时进行对分和时进行进位,而时为24进制,当到达23时,之后进行清零,从而实现数字时钟的相应功能。分秒功能的实现:用两片74LS160组成60秒、分、时分别为60、60和24进制计数器。秒、分均为六十进制,即显示进制递增计数器。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。时功能的实现:用两片74LS160组成24进制递增计数器。结构框图及说明 在产生信号时可采用两种方法,方法(1)采用555定时器及分频器,而方法(2)直接利用函数信号发生器。 电路工作原理? 振荡器是数字钟的核心。振荡器的稳定度及频率的准确度决定了数字钟计时的准确程度,通常选用石英晶体构成的振荡器电路。一般来说,振荡器的频率越高,计时精度越高。如图5所示调节电阻R2可以改变输出信号频率,用以得到所需的信号频率。利用555定时器进行产生信号,形成晶振电路,如下 图二?555定时器 用三片74LS160可以构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。它的功能是产生标准秒脉冲信号。原理如下 图三?分频电路

西电 数电大作业

数电作业设计报告 一、八人抢答电路 设计要求: 1.抢答器编号1到8,相应的抢答器被按下时其编号会在数码管上显示,此时其他抢答器的操作将被封锁; 2.具有每次抢答之前的手动清零功能; 3.清零后新一轮抢答开始,倒计时30秒,若30内无抢答器被按下,则红灯亮一下示意此次抢答无效; 具体结构展示: 1)抢答电路如图: 初始状态:

按下抢答器按键后: 按下清零按键后:

首先将八个抢答器的状态等效一组状态码,采用74LS148(8线-3线优先编码器)进行编码输出,输入端选取0到7位对应八个抢答器,由于输出范围为000~111,所以输出端加74LS283(超前进位加法器)将输出每项加0001,此时输出范围为0001~1000,输送给数码管以显示组号; 为了实现当有抢答器按下是其他抢答器被封锁的功能,采用74LS175(四D触发器)以及一个D触发器以及一个四输入与非门构成锁存器,连接方式如图。当有抢答器被按下的时候编码器从EO端端同时产生一个上升脉冲,送入4D触发器的时钟端,为了让时钟捕捉到触发器输入端的序列,使用D触发器对EO端的脉冲进行延时。最终当信号序列送入数码管后,四个Q输出端通过一个与非门连接编码器的使能端EI,当有信号序列输入时,与非门输出为1,编码器被禁止工作; 需要清零时按一下与触发器clear端相连的开关,此时四D触发 器Q输出端全部置1,与非门输出为0,编码器工作,等待接收信号;

2)计时电路如图: 采用两片74LS160(十进制计数器)整体置数法构成模三十计数器,计数范围为000000001 ~ 0011 0000,输出端连接数码管显示。 三十秒计数结束的时若仍没有抢答器被按下,则红灯亮,此次抢答无效。 3)总电路如图: 抢答电路与计时电路连接的关键在于:

电磁散射与隐身技术导论-西安电子科技大学

电磁散射与隐身技术导论课程大作业报告 学院:电子工程学院 专业:电子信息工程 班级: 0210** 学号: 0210**** 姓名: ****** 电子邮件: 日期: 2018 年 07 月 成绩: 指导教师:姜文

雷达目标RCS近远场变换 在现代军事领域中,隐身技术和反隐身技术是重中之重,研究隐身和反隐身技术就要研究目标的电磁散射特性。雷达散射截面(RCS)是评价目标散射特征的最基本参数之一,其计算和测量的研究具有重要意义。计算方法有解析方法,精确预估技术和高频近似方法等。根据测量方式的不同,可以分为远场测量、近场测量和紧缩场测量。远场测量在室外进行,虽然能直接得到目标RCS,但是条件难以满足(满足远场条件时,被测目标与天线间的距离非常大),相比之下,在微波暗室中进行的近场测量由于采用缩比测量的方法更容易满足测试条件。相对于紧缩场测量,近场测量的精度更高,成本也有所降低,于是近场测量越来越成为研究的一个重点。近场测试到的雷达回波信号并不是工程中所关心的RCS,而如何由近场测量数据得到目标RCS,则是必须要解决的问题。 为了得到目标RCS,将目标等效为一维分布的散射中心,并忽略了散射中心与雷达之间的相互影响,忽略散射中心与测试环境之间的相互影响。根据雷达回波信号,研究了一种利用雷达近场数据来估计目标总的RCS的方法。推导了算法的具体过程,将研究重点放在了算法的核心——权重函数上。分别仿真了单站正视,单站侧视,对称双站,不对称双站几种情况下权重函数的特性,具体表现为不同参数对权重函数幅度和相位的影响。基于仿真结果,提出了用定标来求得权重函数的方法。并用不同尺寸的金属球作为实验目标,采用某一个金属球理论RCS 值来定标,求得权重函数之后,用此算法变换出目标的RCS,并与其理论值做比对,验证了算法的可行性。 一、雷达截面的研究背景、发展现状 隐身和反隐身技术作为现代战争中电子高科技对抗的重要领域,一直都是各国军事研究的重点,随着各种精确制导武器和探测系统研制成功,隐身技术和反隐身技术越发重要。在军事应用中,希望己方的武器隐身性能尽可能好,并且能尽可能的探测到敌方的隐身目标。这就是必须研究隐身技术和反隐身技术最主要的原因,隐身技术与反隐身技术都必须研究目标的雷达散射特性,隐身技术是让目标的散射尽可能的小,反隐身技术则是尽量能够接收到目标的回波信号,因此要研究隐身和反隐身技术就要研究目标的电磁散射特性。隐身技术和反隐身技术

数电大作业流水灯

数电大作业流水灯

综合设计题 一.流水灯 1.总体思路 8位流水灯始终是一亮七暗的,根据这个特点可以考虑采用74LS138译码器的输出来实现流水灯的循环电路。同时,还可以用74LS161四位二进制计数器来控制74LS138的输入端,从而实现对灯亮灭的控制 2.使用元件 3—8译码器74LS138,四位二进制计数器74LS161,555定时器,七段数码管译码器驱动器4511芯片,数码管,电容,电阻,非门若干。 3.电路原理框图 4.元器件在本电路中的主要功能 ○1555定时器 555 定时器在本电路中的作用主要是产生占空比可调的矩形脉冲从而 可以改变灯亮时间,而且它的振荡周期为T=0.7 (R1+2R2)C。此处C=0.1uF.由电路参数可知,当 R1为10kΩ时,灯亮时间为0.0014s.它的功能主 要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上 的同相 电压,当 5 脚悬空时,则电压比较器 C 1 的反相输入端的电 输入端的电压为 2VCC /3,C 2 压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如 2

果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C 1的输出为 0,C 2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。 电路图如下: ○2 74LS161计数器 74LS161计数器在本电路中的作用是产生000-111脉冲控制 74LS138的A 2A 1 A ,依次选通Y -Y 7 。产生脉冲序列也可以用74LS191是 四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。所以采用反馈置数法,产生000-111脉冲序号,时钟脉冲外部接入,原理图如下图所示

电大作业《教育研究方法》答案

名词解释 分析维度:又称分析的类目,是根据研究需要而设计的将资料内容进行分类的项目和标准。 假设:是对一定范围的事物、现象的本质,规矩或原因的一种推测性的说明方法。 价值原则:是确定与假设科研问题时应考虑的原则,即问题是否在理论与实用上有价值。 教育研究设计:广义的设计是指为解决研究问题所拟定的比较详细的规划及付诸实施的全部过程。狭义的设计是指研究者为了解答所欲研究的问题而说明对研究中各种变量如何控制的一种简要的计划、结构、方法和策略等。 科学:是建立在实践基础上,经过实践验证,具有严密逻辑论证的关于客观世界各个领域中事物现象的本质、特征,必然联系或运动规律的理性认识知识体系。 科学研究:广义的研究是指对某种现象或问题加以调查、审查、讨论及思考,然后分析和综合所得的结论或结果。狭义的研究是指以严密的方法探求某项事实的原理,获得正确、可靠的结果。 科学方法:是使科学研究正确进行的理论、原则、方法和手段。 科学实验:科学实验室从生产中分离出来的一项实践活动,是为了预定的认识目的,在严密人工控制的条件下,对客体进行研究的一种手段。 科学观察:是实践活动的一种形式,是有目的、有计划地感知和描述客观事物的一种认识方法。 科学方法论:科学方法是科学知识的产生过程和创造过程,而科学方法论论是研究科学方法的专门学科。 科学原则:是指问题是否有一定的科学理论为依据。平均相互同意度:是指两个评判者之间相互同意的程度。 人类智能:在实际生活中解决所遇到问题的能力,提出新问题并加以解决的能力,对自己所属文化作有价值的创造服务的能力。 四会能力:是指学会主动求知能力、学会身体力行能力、学会待人接物能力、学会自我发展能力。 随机性原则:是指在进行抽样时,总体中每一个体被抽选的概率完全均等。

相关主题
文本预览
相关文档 最新文档