当前位置:文档之家› 4位输入大数据的一般数值比较器电路设计

4位输入大数据的一般数值比较器电路设计

4位输入大数据的一般数值比较器电路设计
4位输入大数据的一般数值比较器电路设计

课程设计报告

课程名称数字逻辑课程设计

课题4位输入数据的一般数值的比较

电路的设计

专业计算机科学与技术

班级计算机1202

学号201203010202

姓名周逢露

指导教师洞波淑红多

2013年12月13日

课程设计任务书

课程名称数字逻辑课程设计

课题4位输入数据的一般数值

比较电路的设计

专业班级计算机科学与技术

学生周逢露

学号201203010202

指导老师洞波淑红多

审批洞波

任务书下达日期:2013年12月13日

任务完成日期:2014年01月21日

一、设计容与设计要求

1.设计容:

本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。

题目一4线-16线译码器电路设计;

题目二16选1选择器电路设计;

题目三4位输入数据的一般数值比较器电路设计

题目四10线-4线优先编码器的设计

题目五8位全加器的设计

题目六RS触发器的设计;

题目七JK触发器的设计;

题目八D触发器的设计;

题目九十进制同步计数器的设计;

题目十T触发器的设计;

每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。

参考书目

1 EDA技术与VHDL程

序开发基础教程

雷伏容,俊,霞清华大学978-7-302-22416-7 2010 TP312VH/36

2 VHDL电路设计雷伏容清华大学7-302-14226-2 2006 TN702/185

3 VHDL 电路设计技术王道宪贺名臣

国防工业7-118-03352-9 2004 TN702/62

4 VHDL 实用技术潘松,王国栋7-8106

5 7-81065-290-7 2000 TP312VH/1

5 VHDL 语言100 例详

理工大学ASIC研

究所

7-900625 7-900625-02-X 1999 TP312VH/3

6 VHDL编程与仿真王毅平等人民邮电7-115-08641-9 2000 73.9621/W38V

7 VHDL程序设计教程邢建平曾繁泰清华大学7-302-11652-0 2005 TP312VH/27/3

课程设计报告规

课程设计报告应包含如下几个部分

1)功能描述

说明设计器件的功能,包括真值表(功能表),函数表达式,逻辑电路图

2) 详细设计

按照VHDL语言开发流程写出整个开发的详细过程,可以根据如下步骤适当导出程序,程序界面截图到课程设计报告对应模块。

基本设计流程如下:

①工程管理:新建工程,工程管理;

②源文件输入:VHDL程序或者原理图的设计,嵌模块的调用;

③综合、编译:检查语法,连接错误,生成综合后网表;

④功能仿真:综合后的功能仿真;

⑤简单约束:管脚分配,I/O特性约束,简单的时序约束;

⑥全编译:软件自动完成布局布线,生成最终编程文件;

⑦时序仿真:带延时的和实际情况非常接近的时序仿真;

⑧编程:下载到硬件当中。

3) 调试分析以及设计体会

a.仿真或程序下载调试(附界面截图)。

b.设计过程中遇到的问题以及解决问题的方法。

c.课程设计过程经验教训、心得体会。

4) 书写格式

见附带说明。

5) 附录

a.参考书目

b.源程序清单(带注释)

考核方式

指导老师负责验收程序的运行结果,并结合学生的工作态度、实际动手能力、创新精神和设计报告等进行综合考评,并按优秀、良好、中等、及格和不及格五个等级给出每位同学的课程设计成绩。具体考核标准包含以下几个部分:

1)平时出勤(占10%)

2)系统需求分析、功能设计、数据结构设计及程序总体结构合理与否(占10%

3)程序能否完整、准确地运行,个人能否独立、熟练地调试程序(占40%)

4)设计报告(占30%)

5)注意:不得抄袭他人的报告(或给他人抄袭),一旦发现,成绩为零分。

6)独立完成情况(占10%)。

课程设计验收要求

1)运行所设计的系统。

2)回答有关问题。

3)提交课程设计报告纸质稿。

4)提交源程序或设计报告文档电子稿。

5)依容的创新程度,完善程序情况及对程序讲解情况打分。

二、进度安排

上机时间、地点

16 周周二下午E410/413

16 周周二下午E413/414

16 周周三下午E414/606

附带说明:

1.课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(程序清单)。

2.正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。

3.正文的容:

一、课题的主要功能;

二、详细设计;

三、程序调试;

四、总结;

五、附件(所有程序的原代码,要求对程序写出必要的注释)。

4.正文总字数要求在5000字以上(不含程序原代码)。

目录

一、课题的主要功能

1)功能描述

2)集成数值比较器74LS85得功能

3)集成数值比较器74LS85是4位数值比较器二、详细设计

1)打开QuartusII软件;新建一个项目,

2)建立VHDL文件

3)建立矢量波形文件

4)进行功能仿真

5)进入时序仿真

6)器件的下载

三、程序调试分析及设计体会

1)仿真或程序下载调试

2)设计过程中遇到的问题及解决方法

3)课程设计的心得体会

四、书写格式

五、总结

六、附录

实验3-组合逻辑电路数据选择器实验

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

4位数值比较器设计

电了技术课程设计报告题目: 4 位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1课程设计的任务 采用Multisim 12.0 软件实现4位数值比较器的设计与仿真。 1.2课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74LS85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A(与B3B2B1B(进行比较。从A的最高位A3和 B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3则再比较次高位A2=B2余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+F A3=B3FA2=B2FA仁B1FA0=B0IA>B (2-1) FAB、IAB、IAvB、IA=B进行适当处理,IA>B=IA

数字电路实验报告——数据选择器

第八次实验报告 实验六 数据选择器 一、实验目的要求 1、 熟悉中规模集成电路数据选择器的工作原理与逻辑功能 2、 掌握数据选择器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、T4153、CC4011 三、实验线路、原理框图 (一)数据选择器的基本原理 数据选择器是常用的组合逻辑部件之一,它有若干个输入端,若干个控制输入端及一个输出端。 数据选择器的地址变量一般的选择方式是: (1) 选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量与反变量),以简 化数据输入端的附加电路。 (2) 选择一组具有一定物理意义的量。 (二)T4153的逻辑符号、逻辑功能及管脚排列图 (1)T4153是一个双4选1数据选择器,其逻辑符号如图1: 图1 (2) T4153的功能表如下表 其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S 是使能端,在S 是使能端,在 原SJ 符号

S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的 逻辑表达式为: Y=S (1A 0A 0D +101D A A +201D A A +301A A A ) (3) T4153的管脚排列图如图2 图2 (三)利用T4153四选一数据选择器设计一个一位二进制全减器的实验原理和实验线路 (1)一位二进制全减器的逻辑功能表见下表: n D =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C n C =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C =n A n B 1-n C +n A n B +n A n B 1-n C (3)根据全减器的逻辑功能表设计出的实验线路图为图3: S 11D 3 1D 2 1D 1 1D 0 1Y

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

Candence课程设计——2位数值比较器

集成电路设计论文 论文题目:2位数值比较器 姓名:陈英文 学号:1020630126 学院:机械与电子工程学院专业:电子科学与技术 班级:10206301 指导教师:蔡老师

一、课程实验设计目的 本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(A

逻辑符号示意框图: Y(A>B) Y(A=B) Y(AB)Y(A=B)Y(A

2.3 逻辑图如下所示: 3. 2位数值比较器 3.1 定义:比较两个2 位二进制数的大小的电路 3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。 3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B0

数字电子技术基础实验-8选1数据选择器74LS151

8选1数据选择器74LS151 简介 74LS151是一种典型的集成电路数据选择器,为互补输出的8选1数据选择器,它有3个地址输入端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。 74LS151引脚图 选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。 (1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。 (2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。 如:CBA=000,则选择D0数据到输出端,即Y=D0。 如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。

74LS151功能表 数据选择器的应用 数据选择器除实现有选择的传送数据外,还有其他用途,下面介绍几种典型应用。 (1)逻辑函数产生器 从74LS151的逻辑图可以看出,当使能端G=0时,Y是C、B、A和输入数据D0~D7的与或函数。 式中mi是C、B、A构成的最小项。显然。当Di=1时,其对应的最小项mi在与或表达式中出现,当Di=0时,对应的最小项就不出现。利用这一点,不难实现组合逻辑函数。 已知逻辑函数,利用数据选择器构成函数产生器的过程是,将函数变换成最小项表达式,根据最小项表达式确定各数据输入端的二元常量。将数据选择器的地址信号C、 B、A作为函数的输入变量,数据输入D0~D7,作为控制信号,控制各最小项在输出 逻辑函数中是否出现,使能端G始终保持低电平,这样8选1数据选择器就成为一个3变量的函数产生器。

电子线路基础数字电路实验4 数据选择器

实验四数据选择器 一、实验目的 1. 熟悉中规模集成数据选择器的逻辑功能及测试方法。 2. 学习用集成数据选择器进行逻辑设计。 二、实验原理 数据选择器是常用的组合逻辑部件之一。它由组合逻辑电路对数字信号进行控制来完成较复杂的逻辑功能。它有若干个数据输入端D0、D1、…,若干个控制输入端A0、A1、…和一个输出端Y0。在控制输入端加上适当的信号,即可从多个输入数据源中将所需的数据信号选择出来,送到输出端。使用时也可以在控制输入端上加上一组二进制编码程序的信号,使电路按要求输出一串信号,所以它也是一种可编程序的逻辑部件。 中规模集成芯片74LS153为双四选一数据选择器,引脚排列如图7—1所示,其中D0,D1,D2,D3为四个数据输入端,Y为输出端,A1,A2为控制输入端(或称地址端)同时控制两个四选一数据选择器的工作,G为工作状态选择端(或称使能端)。74LS153的逻辑功能如表7—1所示,当1 =G G时电路不工作,此 1= 2 ) ( 时无论A1、A0处于什么状态,输出Y总为零,即禁止所有数据输出,当( =G G时,电路正常工作,被选择的数据送到输出端,如A1A0=01,则选1= ) 2 中数据D1输出。 图7—1 图7—2 表7—1

当G =0时,74LS153的逻辑表达式为 中规模集成芯片74LS151为八选一数据选择器,引脚排列如图7—2所示。其中D 0—D 7为数据输入端,)(Y Y 为输出端,A 2、A 1、A 0为地址端,74LS151的逻辑功能如表7—2所示。逻辑表达式为 数据选择器是一种通用性很强的中规模集成电路,除了能传递数据外,还可用它设计成数码比较器,变并行码为串行及组成函数发生器。本实验内容为用数据选择器设计函数发生器。 用数据选择器可以产生任意组合的逻辑函数,因而用数据选择器构成函数发生器方法简便,线路简单。对于任何给定的三输入变量逻辑函数均可用四选一数据选择器来实现,同时对于四输入变量逻辑函数可以用八选一数据选择器来实现。应当指出,数据选择器实现逻辑函数时,要求逻辑函数式变换成最小项表达式,因此,对函数化简是没有意义的。 表7—2 例:用八选一数据选择器实现逻辑函数 CA BC AB F +== D A A D A A D A A D A A Y 3 1 2 1 1 1 1 +++= D A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A Y 7 2 6 1 2 5 1 2 4 1 2 3 1 2 2 1 2 1 1 2 1 2 +++ ++++=

4位数值比较器设计

电子技术课程设计报告题目: 4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1)FAB、IAB、IAB=IA

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

4位输入数据的一般数值比较器电路设计

课程设计报告 课程名称数字逻辑课程设计 课题4位输入数据的一般数值的比较 电路的设计 专业计算机科学与技术 班级计算机1202 学号

姓名周逢露 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题4位输入数据的一般数值 比较电路的设计 专业班级计算机科学与技术

学生姓名周逢露 学号201203010202 指导老师刘洞波陈淑红陈多 审批刘洞波 任务书下达日期:2013年12月13日任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1EDA技术与VHDL程序 开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22416-72010TP312VH/36 2VHDL电路设计雷伏容清华大学出版 社 7-302-14226-22006TN702/185 3VHDL 电路设计技术王道宪贺名臣 刘伟 国防工业出版 社 7-118-03352-92004TN702/62 4VHDL 实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/1 5VHDL 语言100 例详解北京理工大学 ASIC研究所 7-9006257-900625-02-X1999TP312VH/3 6VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9200073.9621/W38V

数字电路实验报告-4选1数据选择器及其应用

电学实验报告模板 实验原理 数据选择器的功能类似一个单刀多掷开关,如图1所示。数据选择器在地址码的控制下,从多路数据输入中选择其中一个并将其送到一个公共的输出端。 图1 数据选择器示意图 1. 4选1数据选择器

图2 4选1数据选择器及其逻辑 图2所示为4选1数据选择器及其逻辑。该电路有4路输入数据和为地址输入。为使能控制端,当时,数据选择器正常工作;当时,数据选择器的输出被锁定在“0”,不能选择。 由图2(b)可以得到该数据选择器的逻辑函数式为 (1) 2. 用4选1数据选择器扩展成8选1数据选择器 8选1数据选择器有8路数据输入,3位地址输入。如果用4选1数据选择器实现8选1,需要2片4选1数据选择器,如图所示。其中,是通过4选1数据选择器的使能控制端接入的。由图5并根据式(1),可以得到 显然实现了8选1的逻辑功能。

图5 用4选1数据选择器扩展成8选1数据选择器实验仪器

实验内容及步骤 1. 测试和验证74HC153的逻辑功能 (1)集成电路芯片74HC153引脚图 74HC153是双4选1数据选择器,芯片内部包含两个独立的、完全相同的4选1数据选择器。图7-5所示为引脚图。每一个4选1数据选择器都设置了一个使能控制端。两个4选1数据选择器共享地址输入端。 图6 74HC151引脚图 (2)测试和验证74HC153的逻辑功能 按图7连接电路。实验数据记录在表7-1。验证74HC153的逻辑功能。

图7 测试74HC151的逻辑功能实验电路 表1 (3)用一片74HC153扩展成8选1数据选择器

图8 74HC153扩展成8选1数据选择器实验电路按图8连接电路。实验数据记录在表2。验证电路的逻辑功能。 表2

数字电路实验,数据选择器及其应用 实验报告

实验数据选择器及其应用 一、实验目的 1.了解74LS151(T3151)八选一数据选择器的逻辑功能。 2.学习应用74LS151进行简单逻辑设计。 3.了解74LS151的不同用途。 二、实验设备和器件 1.+5V直流电源2.逻辑电平开关 3.逻辑电平显示器4.74LS151(或CC4512) 74LS153(或CC4539) 三、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。八选一数据选择器74LS151选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,为使能端,低电平有效。 四、实验内容与步骤 1、数据选择器逻辑功能测试 1.1电路图

输入输出 A B C D1Q1Q2 0 0 0 1 0 1 0 0 1 1 1 0 0 1 1 1 0 1 1 0 0 1 0 1 1 0 1 1 0 1 1 1 0 1 0 1 1 1 1 1 0 1 2、三输入多数表决器 2.1 设计过程 由多数表决器的特性,当有两个及以上的1时,数据选择器输出应该为高电平,二极管发光,由此可以设计逻辑电路图。 2.2电路图 2.3实验结果 输入输出 A B C Q 0000 0010 0100 0111 1000 1011 1101 1111

根据仿真结果测试,逻辑电路图正常,能实现上述功能 3、逻辑函数测试 3.1 电路图 3.2 实验结果 1. F=AB+AC; 2. F=ABC+ABC+BC 仿真之后的结果如下: 输入输出 A B C F1 0001 0011 0100 0110 1000 1011 1100 1111 输入输出 A B C F2 0001 0010 0100 0110 1001 1011 1101 1110逻辑函数1、2的测试结果与预计结果相同

4位数值比较器

X X大学课程设计 题目 4位数值比较器 学院 X学院 专业 XXX 班级 XXX 学生 XXX 学号 200000000 指导教师 XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1 前言.................................................................. ................ .. (3) 1.1CMOS组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 24位数比较器 (7) 2.1 原理框图 (7) 2.2 逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.2 4位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

模拟cmos集成电路-2位数值比较器

WORD格式模拟CMOS集成电路课程设计 题目:二位数值比较器 专业:电子科学与技术 班级: 学号: 姓名: 指导老师: 完成时间:2014年月日

目录 一.设计目标 二.一位数值比较器的设计 三.二位数值比较器的设计 四.原理图的绘制及电路的仿真 五.实验电路的版图设计 六.实验心得

一.设计目标 本次课程设计把重点放在电路的设计、制作和仿真,以及版图的设计。熟悉在UNIX系统下Cadence软件的使用, 掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(AB)Y(A=B)Y(A

10100 11010 3.根真值表可写出逻辑函数表达式为4逻辑图如下所示:

三.二位数值比较器的设计 1.二位数值比较器 二位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。为了减少符号的种类,不再使用字 母L,而以(Ai>Bi)、(AiB1)=0和(A1

4位数值比较器

X X大学课程设计 题目4位数值比较器 学院X学院 专业XXX 班级XXX 学生XXX 学号200000000 指导教师XXX 二〇一〇年十二月二十七日

摘要 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 我们可以根据不同的需要来制造出不同类型的比较器。我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。我们再利用这些元件组成比较器的组合逻辑电路。根据不同的需要,来用不同的方法来连接元件实现不同的功能。我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。 关键词门电路;开关元件;比较器;逻辑电路;

目录 摘要 (1) 1前言.................................................................. ................ .. (3) 1.1C M O S组成的门 (3) 1.1.1C M O S组成的非门 (4) 1.1.2C M O S组成的与非门 (4) 1.2位数比较器 (5) 2 4位数比较器 (7) 2.1 原理框图 (7) 2.2逻辑电路图 (8) 2.2.14位数逻辑电路图 (8) 2.2.24位数比较器原理 (9) 2.2.3 电路板示意图 (10) 结论 (11) 心得体会 (12) 致谢 (14) 参考文献 (14)

数值比较器的定义及功能

数值比较器的定义及功能 在数字系统中,特别是在计算机中都具有运算功能,一种简单的运算就是比较两个数A和B的大小。数值比较器就是对两数A、B进行比较,以判断其大小的逻辑电路。比较结果有A>B、A<B以及A=B三种情况。 1.1位数值比较器 1位数值比较器是多位比较器的基础。当A和B都是1位数时,它们只能取0或1两种值,由此可写出1位数值比较器的真值表: 由真值表得到如下逻辑表达式: 由以上逻辑表达式可画出如下图所示的逻辑电路。实际应用中,可根据具体情况选用逻辑门。 2.两位数值比较器 现在分析比较两位数字A1A0和B1B0的情况。 利用1位比较器的结果,可以列出简化的真值表如下:

为了减少符号的种类,不再使用字母L,而以(A i>B i)、(A i<B i)、(A i=B i)直接表示逻辑函数。可以由真值表对两位比较器作如下简要概述。 当高位(A1、B1)不相等时,无需比较低位(A0、B0),两个数的比较结果就是高位比较的结果。 当高位相等时,两数的比较结果由低位比较的结果决定。 由真值表可以写出如下逻辑表达式: 根据表达式画出逻辑图: 电路利用了1位数值比较器的输出作为中间结果。它所依据的原理是,如果两位数A1A0和B1B0的高位不相等,则高位比较结果就是两 数比较结果,与低位无关。这时,由于中间函数(A1=B1)=0,使与门G1、G2、G3均封锁,而或门都打开,低位比较结果不能影响或门 ,高位比较结果则从或门直接输出。如果高位相等,即(A1=B1)=1,使与门G1、G2、G3均打开,同时由(A1>B1)=0和(A1<B1)=0作用,或门也打开,低位的比较结果直接送达输出端,即低位的比较结果决定两数谁大、谁小或者相等。

四位数值比较器

EDA技术课程大作业 设计题目:四位数值比较器 院系:电子信息与电气工程系 学生姓 学号:200902070035 专业班级:09电子信息工程(升) 2010年12月9日

四位数值比较器 1. 设计背景和设计方案 1.1设计背景 在数字电路中,比较器的逻辑功能是用来对两输入端口送来的数据进行比较操作,然后将比较的结果送到输出端口上。通常,比较器对两个输入数据进行比较可以得到三种基本的比较结果:大于、小于和等于。当然,比较器也可以得到不大于、不小于和不等于等结果,而这几种结果可以由三种基本的比较结果进行取反运算即可。 1.2设计方案 四位数值比较器是数字电路中应用得最广泛的一种比较器。一般来说,四位数值比较器的实体模块如图1-2-1所示,与其对应的真值表如表1-2-1所示。比较器含有两个4位位矢量输入端口A和B,3个比较结果输出端口GT、EQ、LT,以及3个级联输入端口I1、I2、I3。其中级联输入端口的作用是用来进行芯片的级联操作。通过这些端口可以对比较器的功能进行扩展。 图1-2-1 四位比较器实体模块 表1-2-1 四位比较器的真值表 2. 方案实施

2.1四位数值比较器的设计 1、设计思路文字描述 根据实体模块和真值表可知,设计两组四位数据输入端口,三个级联输入端口,三个数据比较结果输出端口。 2、程序 library ieee; use ieee.std_logic_1164.all; entity comparator is port(A0,A1,A2,A3: in std_logic; B0,B1,B2,B3: in std_logic; I1,I2,I3: in std_logic; GT,EQ,LT: out std_logic); end comparator; architecture one of comparator is signal A_tmp,B_tmp:std_logic_vector(3 downto 0); begin A_tmp <= A3&A2&A1&A0; B_tmp <= B3&B2&B1&B0; process(A_tmp,B_tmp,I1,I2,I3) begin if(A_tmp > B_tmp) then GT <='1'; EQ <='0'; LT <='0'; elsif(A_tmp < B_tmp) then GT <='0'; EQ <='0'; LT <='1'; elsif(A_tmp = B_tmp) then if(I2='1') then GT <='0'; EQ <='1'; LT <='0'; elsif(I1='1') then GT <='1'; EQ <='0'; LT <='0';

模拟cmos集成电路-2位数值比较器

模拟CMOS集成电路课程设计 题目:二位数值比较器 专业:电子科学与技术 班级: 学号: 姓名: 指导老师: 完成时间:2014年月日

目录 一.设计目标 二.一位数值比较器的设计 三.二位数值比较器的设计 四.原理图的绘制及电路的仿真 五.实验电路的版图设计 六. 实验心得

一.设计目标 本次课程设计把重点放在电路的设计、制作和仿真,以及版图的设计。熟悉在UNIX系统下Cadence软件的使用,掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(AB)Y(A=B)Y(A

1 0 1 0 0 1 1 0 1 0 3.根真值表可写出逻辑函数表达式为 4逻辑图如下所示:

三. 二位数值比较器的设计 1.二位数值比较器 二位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。为了减少符号的种类,不再使用字母L,而以(Ai>Bi)、(AiB1)=0和(A1

4位数值比较器设计

电子技术课程设计报告题目:4位数值比较器设计 学生: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制

2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2 FA0>B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1) FA

IA>B 、IAB 、IAB=IAB ;红灯亮表示A

相关主题
文本预览
相关文档 最新文档