当前位置:文档之家› 数字电路二位数值比较器

数字电路二位数值比较器

数字电路二位数值比较器
数字电路二位数值比较器

数字电子技术基础

课程设计报告书

题目:2位数值比较器

姓名:

班级:

指导教师:

设计时间:2011年3月— 7月

民族大学数学与计算机学院

一、背景和编写目的

随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器,比如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。

本次设计的目的就是通过实践掌握数字电路的分析方法和设计方法,了解了解EDA技术和maxplus2软件并掌握VHDL硬件描述语言的设计方法和思想。以数字电子技术基础为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对比较器的设计,巩固和综合运用所学知识,提高分析、解决计算机技术实际问题的独立工作能力。

比较器有2位数比较器,4位数比较器,8位数比较器等多种。本课程设计就是两位数比较器,可以实现2位二进制数值的比较。

二、EDA和VHDL的介绍

EDA技术

EDA技术的概念

EDA是电子设计自动化(E1echonics Des5p AM?toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异。从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。

EDA技术的特点

采用可编程器件,通过设计芯片来实现系统功能。采用硬件描述语言作为设计输入和库(LibraLy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。并且可减少芯片的数量,缩小系统体积,降低能源消耗,提高了系统的性能和可靠性。能全方位地利用计算机自动设计、仿真和调试。VHDL语言

VHDL语言的简介

VHDL语言是一种用于电路设计的高级的硬件描述语言。其主要是应用在数字电路的设计中。在一些实力较为雄厚的单位,它常被用来设计ASIC。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计分成外部和内部,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点.与其他硬件描述语言相比,VHDL的特点:

1、功能强大、设计灵活:

它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计。VHDL支持自底向上的设计,又支持自顶向下的设计。

2、支持广泛、易于修改:

大多数EDA工具几乎都支持VHDL,故在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。

3、强大的系统硬件描述能力

VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。VHDL还支持预定义的和自定义的数据类型。

此外还有独立于器件的设计、很强的移植能力、易于共享和复用等特点。三、系统组成

数据输入端|:A1,B1,A0,B0

输出端口:1,2,3

四、硬件设计

a、输入2位二进制数值分别用A1、B1、A0、B0表示

b、输出用1、2、3表示,其中1、2、3分别代表结果是A>B、A=B、A

2位数值比较器以及逻辑图如下:

电压比较器原理介绍

一、电压比较器原理 电压比较器是集成运放非线性应用电路,常用于各种电子设备中,那么什么是电压比较器呢? 它将一个模拟量电压信号和一个参考固定电压相比较,在二者幅度相等的附近,输出电压将产生跃变,相应输出高电平或低电平。比较器可以组成非正弦波形变换电路及应用于模拟与数字信号转换等领域。 图1所示为一最简单的电压比较器,UR为参考电压,加在运放的同相的输入端,输入电压ui加在反相的输入端。 图1电压比较器原理图(a)及传输特性(b) (a)电路图 (b)传输特性当ui<U R时,运放输出高电平,稳压管Dz反向稳压工作。输出端电位被其箝位在稳压管的稳定电压U Z,即 u O=U Z 当ui>U R时,运放输出低电平,DZ正向导通,输出电压等于稳压管的正向压降U D,即 uo=-U D 因此,以U R为界,当输入电压ui变化时,输出端反映出两种状态,高电位和低电位。 表示输出电压与输入电压之间关系的特性曲线,称为传输特性。图1(b)为(a)图比较器的传输特性。 常用的电压比较器有过零电压比较器、具有滞回特性的过零比较器、滞回电压比较器,窗口(双限)电压比较器。 二、集成电压比较器简介 作用:可将模拟信号转换成二值信号,即只有高电平和低电平两种状态的离散信号。应用:作为模拟电路和数字电路的接口电路。 特点:比集成运放的开环增益低,失调电压大,共模抑制比小;但其响应速度快,传输延迟时间短,而且不需外加限幅电路就可直接驱动TTL、CMOS和ECL等集成数字电路;有些芯片带负载能力很强,还可直接驱动继电器和指示灯(例如LM311)。 三、电压比较器的应用 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端) 及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压V A,反相端输入V B。V A和V B的变化如图1(b)所示。

电压比较器电路图

电压比较器电路图 单限比较器电路 OH。图1B为其传输特性。 图3为某仪器中过热检测保护电路。它用单电源供电,1/4LM339的反相输入端加一个固定的参考电压,它的值取决于R1于R2。UR=R2/(R1+R2)*UCC。同相端的电压就等于热敏元件RT的电压降。当机内温度为设定值以下时,“+”端电压大于“-”端电压,UO为

高电位。当温度上升为设定值以上时,“-”端电压大于“+”端,比较器反转,UO输出为零电位,使保护电路动作,调节R1的值可以改变门限电压,既设定温度值的大小。 图3 迟滞比较器 图1 不难看出,当输出状态一旦转换后,只要在跳变电压值附近的干扰不超过ΔU之值,输出电压的值就将是稳定的。但随之而来的是分辨率降低。因为对迟滞比较器来说,它不能分辨差别小于ΔU的两个输入电压值。迟滞比较器加有正反馈可以加快比较器的响应速度,这是它的一个优点。除此之外,由于迟滞比较器加的正反馈很强,远比电路中的寄生耦合强得多,故迟滞比较器还可免除由于电路寄生耦合而产生的自激振荡。 图2 图3为某电磁炉电路中电网过电压检测电路部分。电网电压正常时,1/4LM339的U4<,U5=,输出开路,过电压保护电路不工作,作为正反馈的射极跟随器BG1是导通

的。当电网电压大于242V时,U4>,比较器翻转,输出为0V,BG1截止,U5的电压就完全决定于R1与R2的分压值,为,促使U4更大于U5,这就使翻转后的状态极为稳定,避免了过压点附近由于电网电压很小的波动而引起的不稳定的现象。由于制造了一定的回差(迟滞),在过电压保护后,电网电压要降到242-5=237V时,U4UR2或UIN

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

集成数值比较器

集成数值比较器 1.集成数值比较器74LS85得功能 集成数值比较器74LS85是4位数值比较器,其功能如下: 从功能表可以看出,该比较器的比较原理和两位比较器的比较原理相同。两个4位数的比较是从A的最高位A3和B的最高位B3进行比较,如果它们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2和B2,余类推。显然,如果两数 相等,那么,比较步骤必须进行到最低位才能得到结果。

真值表中的输入变量包括A3与B3、A2与B2、A1与B1、A0与B0和A与B的比较结果。其中A和B是另外两个低位数,I A>B、I A

再根据74LS85的功能表可得: 上式与逻辑图一致。由上式可以看出,仅对4位数进行比较时,应对I A>B、I AB=I AB、I A

由图可以看出,这里采用两级比较方法,将16位按高低位次序分成4组,每组4位,各组的比较是并行进行的。将每组的比较结果再经4位比较器进行比较后得出结果。显然,从数据输入到稳定输出只需两倍的4位比较器延迟时间,若用串联方式,则16位的数值比较器从输入到稳定输出需要4倍的4位比较器的延迟时间。

数字电路实验报告——译码器

第五次试验报告 实验五 译码器 一、实验目的要求 1、熟悉中规模集成电路T4138译码器的工作原理与逻辑功能 2、掌握译码器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、万用表、两个T4138、74LS20 三、实验线路、原理框图 1、T4138的逻辑符号 T4138是一个3线—8线译码器,它是一种通用译码器,其逻辑符号如图1所示。 图1 其中,A 2、A 1、A 0是地址输入端,Y 0、Y 1、Y 2、Y 3、Y 4、Y 5、Y 6、Y 7是译码输出端,S 1、 S 2、S 3是使能端,当S 1=1, S 2+S 3=0时,器件使能。 2、T4138的管脚排列 T4138的管脚排列如图2所示: 图2 3、T4138的逻辑功能 T4138的功能表如下表所示: Y Y Y Y Y Y Y 32 (a )原SJ 符号 (b )GB 符号

3线—8线译码器实际上是一个负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器。 4、用T4138实现一个逻辑函数 译码器的每一路输出,实际上是地址码的一个最小项的反变量,利用其中一部分输出端输出的与非关系,也就是它们相应最小项的或逻辑表达式,能方便地实现逻辑函数。 本试验要求实现以下逻辑函数: Y=AB C +A B C+A BC+ABC=ABC BC A C B A C AB ???=7356Y Y Y Y 用T4138和74LS20实现以上逻辑函数,实验线路见下图(图3): 图3 5,用两个3线—8线译码器组成一个4线—16线的译码器 4线—16线的真值表为: “0Y

LM339电压比较器原理应用

四电压比较器LM339的8个典型应用例子 LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:1)失调电压小,典型值为2mV;2)电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;3)对比较信号源的内阻限制较宽;4)共模范围很大,为0~(Ucc-1.5V)Vo;5)差动输入电压范围较大,大到可以等于电源电压;6)输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。 单限比较器电路 图2a给出了一个基本单限比较器。输入信号Uin,即待比较电压,它加到同相输入端,在反相输入端接一个参考电压(门限电平)Ur。当输入电压Uin>Ur时,输出为高电平UOH。图2b为其传输特性。

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

电压比较器

模拟电子技术自主设计实验 姓名:林启震班级:04101 学号1120410121 实验日期:5.27 台号:教师签字: 电压比较器 一、实验目的 1、掌握电压比较器的分析及其计算 2、学习测试比较器的方法 二、实验仪器 1、双踪示波器 2、信号发生器 3、数字万用表 4、直流电源。 三、实验原理及测量方法 电压比较器(通常称为比较器)的功能是比较两个电压的大小。例如,将一个信号电压Ui和另一个参考电压Ur进行比较,在Ui>Ur和Ui0时,Uo为低电平 Ui<0时,Uo为高电平 集成运放输出的高低电平值一般为最大输出正负电压值U om (a)电路图(b)电压传输特性曲线 图1 过零比较器 2、滞回电压比较器 滞回电压比较器是由集成运放外加反馈网络构成的正反馈电路,如图2所示。Ui为信号电压,Ur为参考电压值,输出端的稳压管使输出的高低电平值为±Uz。可以看出,此电路形成的反馈为正反馈电路。

(a )电路图 (b )电压传输特性曲线 图2 反向滞回电压比较器 电压比较器的特性可以用电路的传输特性来描述,它是指输出电压与输入电压的关系曲线,如图1(b )为过零比较器的电压传输特性曲线。 可以看出,当输入电压从低逐渐升高或从高逐渐降低经过0电压时,Uo 会从一个电平跳变为另一个电平,称0为过零比较器的阈值。阈值定义为当比较器的输出电平从一个电平跳变到另一个电平时对应的输入电压值。 滞回电压比较器的电压传输特性曲线如图2(b )所示。 曲线表明,当输入电压由低向高变化,经过阈值1TH U 时,输出电平由高电平(Uz )跳变为低电平(-Uz )。 2123z TH R U U R R = + 当输入电压由高向低变化,经过阈值2TH U 时,输出电平由低电平(-Uz)跳变为高电平(Uz)。 2123z TH R U U R R -= + 3、电压比较器的测试 测试过零比较器时,可以用一个低频的正弦信号输入至比较器中,直接用双踪示波器监看输出和输入波形,当输入信号幅度适中时,可以发现输入电压大于零、小于零时,输出的高、低电平变化波形,即将正弦波变换为方波。 滞回电压比较器测试时也可由用同样的方法,但在示波器上读取上、下阈值时,误差较大。采用直流输入信号的方案较好,调节输入信号变化,测出输出电平跳变时对应的输入电压值即为阈值。 四、实验内容 1、 过零比较器 (1)连接图1(a )实验电路,检查无误后,接通12V ±直流电源 (2)测量当Ui 悬空时,Uo 的值 (3)调节信号源,使输出频率为100Hz ,有效值为1V 的正弦波信号,并输入至Ui 端,用示波器观察比较器的输入Ui 与输出Uo 波形并记录 (4)改变信号发生器的输出电压Ui 幅值,用示波器观察Uo 变化,测出电压传

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

电压比较器教程文件

电压比较器

实验十集成运放基本应用之三——电压比较电路 姓名:班级:学号:实验时间: 一、实验目的 1、掌握比较器的电路构成及特点 2、学会测试比较器的方法 二、实验原理 1、图1所示为一最简单的电压比较器,UR为参考电压,输入电压Ui加在反相输入端。图1(b)为(a)图比较器的传输特性。 (a) 图1 电压比较器 (b) 当UiUR时,运放输出低电平,Dz正向导通,输出电压等于稳压管的正向压降UD,即:Uo=-UD。 因此,以UR为界,当输入电压Ui变化时,输出端反映两种状态。高电位和低电位。 2、常用的幅度比较器有过零比较器、具有滞回特性的过零比较器(又称Schmitt触发器)、双限比较器(又称窗口比较器)等。 (1)、图2过零比较器 D1D2为幅稳压管。信号从运放的反相端输入,参考电压为零。当u1>0 时,u0=-(Uz+U D),当u1<0时,u0=+(Uz+U D)

(a) 图2 过零比较器 (b) (2)、图3为滞回比较器。 过零比较器在实际工作时,如果Ui恰好在过零值附近,则由于零点漂移的存在,Uo将不断由一个极限值转换到另一个极限值,这在控制系统中,对执行机构将是很不利的。为此就需要输出特性具有滞回现象。如图3所示: (a) (b) 图3 滞回比较器 从输出端引入一个电阻分压支路到同相输入端,若Uo 改变状态,U∑ 点也随着改变点位,使过零点离开原来位置。当Uo 为正(记作U D )U∑=[ R2/( R2+ R f )]* U D ,则当UD> U∑后,Uo 再度回升到UD,于是出现图(b)中所示的滞回特性。- U∑ 与U∑ 的差别称为回差。改变R2 的数值可以改变回差的大小。 三、实验设备与器件 1、±12V直流电源 2、直流电压表 3、函数信号发生器 4、交流毫伏表 5、双踪示波器 6、运算放大器μA741×2 7、稳压管2CW231×1 8、二极管4148×2 9、电阻器等

Candence课程设计——2位数值比较器

集成电路设计论文 论文题目:2位数值比较器 姓名:陈英文 学号:1020630126 学院:机械与电子工程学院专业:电子科学与技术 班级:10206301 指导教师:蔡老师

一、课程实验设计目的 本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(A

逻辑符号示意框图: Y(A>B) Y(A=B) Y(AB)Y(A=B)Y(A

2.3 逻辑图如下所示: 3. 2位数值比较器 3.1 定义:比较两个2 位二进制数的大小的电路 3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。 3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B0

数字电路译码器实验报告

一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 预习要求: (1)复习组合逻辑电路的分析与设计方法; (2)根据任务要求设计电路,并拟定试验方法; (3)熟悉所用芯片的逻辑功能、引脚功能和参数; (4)了解组合逻辑电路中竞争冒险现象的原因及消除方法。 (5)二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。 3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试3线—8线译码器74LS138逻辑功能 将一片3线—8线译码器74LS138插入RXB-1B数字电路实验箱的IC空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB—IB型数字电路实验箱的电源“ ”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED)的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。 表3-2 3线-8线译码器74LS138功能表

电压比较器电路图

电压比较器电路。 电压比较器是比较两个电压和开关输出或高或低的状态,取决于电压较高的电路。一个基于运放电压比较器上显示。图1显示了一个电压比较器的反相模式图显示了在非反相模式下的电压比较。 电压比较器 非反相比较 在非反相比较器的参考电压施加到反相输入电压进行比较适用于非反相输入。每当进行比较的电压(Vin)以上的参考电压进入运放的输出摆幅积极饱和度(V+),和副反之亦然。实际上发生了什么是VIN和Vref(VIN-VREF)之间的差异,将是一个积极的价值和由运放放大到无穷大。由于没有反馈电阻Rf,运放是在开环模式,所以电压增益(AV)将接近无穷。+所以最大的可能值,即输出电压摆幅,V。请记住公式AV=1+(Rf/R1)。当VIN低于VREF,反向发生。 反相比较

在相比较的情况下,参考电压施加到非反相输入和电压进行比较适用于反相输入。每当输入电压(Vin)高于VREF,运放的输出摆幅负饱和。倒在这里,两个电压(VIN-VREF)之间的差异和由运放放大到无穷大。记住公式AV=-Rf/R1。在反相模式下的电压增益的计算公式是AV=-Rf/R1.Since没有反馈电阻,增益将接近无穷,输出电压将尽可能即负,V-。 实际电压比较器电路 一种实用的非基于UA741运放的反相比较器如下所示。这里使用R1和R2组成的分压器网络设置参考电压。该方程是VREF=(五+/(R1+R2)的)×R2的。代入这个方程电路图值,VREF=6V。当VIN高于6V,输出摆幅?+12V直流,反之亦然。从A+/-12V 直流双电源供电电路。 电压比较器的使用741

一些其他的运放,你可能会感兴趣的相关电路 1求和放大器:总结放大器可以用来找到一个信号给定数量的代数和。 2。集成使用运放:对于一个集成的电路,输出信号将输入信号的积分。例如,一个集成的正弦波使余弦波,方波一体化为三角波等。 3。反相放大器:在一个反相放大器,输出信号将输入信号的倒版,是由某些因素放大。 4,仪表放大器:这是一个类型的差分放大器输入额外的缓冲阶段。输入阻抗高,易于匹配结果。仪表放大器具有更好的稳定性,高共模抑制比(CMRR),低失调电压和高增益。

数字电路二位数值比较器

数字电子技术基础 课程设计报告书 题目:2位数值比较器 姓名: 班级: 指导教师: 设计时间:2011年3月— 7月 民族大学数学与计算机学院 一、背景和编写目的 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器,比如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 本次设计的目的就是通过实践掌握数字电路的分析方法和设计方法,了解了

解EDA技术和maxplus2软件并掌握VHDL硬件描述语言的设计方法和思想。以数字电子技术基础为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对比较器的设计,巩固和综合运用所学知识,提高分析、解决计算机技术实际问题的独立工作能力。 比较器有2位数比较器,4位数比较器,8位数比较器等多种。本课程设计就是两位数比较器,可以实现2位二进制数值的比较。 二、EDA和VHDL的介绍 EDA技术 EDA技术的概念 EDA是电子设计自动化(E1echonics Des5p AM?toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异。从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。 EDA技术的特点 采用可编程器件,通过设计芯片来实现系统功能。采用硬件描述语言作为设计输入和库(LibraLy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。并且可减少芯片的数量,缩小系统体积,降低能源消耗,提高了系统的性能和可靠性。能全方位地利用计算机自动设计、仿真和调试。VHDL语言 VHDL语言的简介 VHDL语言是一种用于电路设计的高级的硬件描述语言。其主要是应用在数字电路的设计中。在一些实力较为雄厚的单位,它常被用来设计ASIC。 VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计分成外部和内部,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点.与其他硬件描述语言相比,VHDL的特点: 1、功能强大、设计灵活: 它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计。VHDL支持自底向上的设计,又支持自顶向下的设计。 2、支持广泛、易于修改: 大多数EDA工具几乎都支持VHDL,故在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。 3、强大的系统硬件描述能力

数字电路译码器设计

目录

1 绪论 设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国 际科学计算软件的先进水平。它主要由 MATLAB和Simulink两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。 2 电路分析 2-4译码器功能分析 2-4译码器有2个输入端,4个输出端和一个使能端。在使能端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为相反电平。输出信号可以是高电平有效,也可以是低电平有效。具体来说,2输入变量,A1 ,A0共有4种不同状态组合,因而译码器有4个输出信号Y???0~Y?????3 并且输出为低电平有效,其真值表如表1所示 ?????10 器处于非工作状态。而当Y???为0时,对应的A1,A0的某种状态组合,其中只有一个输出为0,其余各输出量均为1.例如,A1 A0=00,输出Y???0为0,Y???0~Y?????3均为1.由此可见,2-4

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

数字电路——2-4译码器设计

目录 1 绪论 (1) 1.1设计背景 (1) 2 电路分析 (2) 2.1 2-4功能分析 (2) 2.2 2-4译码器逻辑图 (3) 3 系统建模与仿真 (4) 3.1 建模 (4) 3.2 仿真波形 (5) 4 仿真结果分析 (7) 5 小结与体会 (8) 参考文献 (9)

1 绪论 1.1设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这 种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 1.2 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学 计算软件的先进水平。它主要由 MATLAB和Simulin k两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。

电压比较器

实验十集成运放基本应用之三——电压比较电路 姓名:班级:学号:实验时间: 一、实验目的 1、掌握比较器的电路构成及特点 2、学会测试比较器的方法 二、实验原理 1、图1所示为一最简单的电压比较器,UR为参考电压,输入电压Ui加在反相输入端。图1(b)为(a)图比较器的传输特性。 (a) 图1 电压比较器 (b) 当UiUR时,运放输出低电平,Dz正向导通,输出电压等于稳压管的正向压降UD,即:Uo=-UD。 因此,以UR为界,当输入电压Ui变化时,输出端反映两种状态。高电位和低电位。 2、常用的幅度比较器有过零比较器、具有滞回特性的过零比较器(又称Schmitt触发器)、双限比较器(又称窗口比较器)等。 (1)、图2过零比较器 D1D2为幅稳压管。信号从运放的反相端输入,参考电压为零。当u1>0时,u0=-(Uz+U D),当u1<0时,u0=+(Uz+U D) (a) 图2 过零比较器(b)

(2)、图3为滞回比较器。 过零比较器在实际工作时,如果Ui恰好在过零值附近,则由于零点漂移的存在,Uo 将不断由一个极限值转换到另一个极限值,这在控制系统中,对执行机构将是很不利的。为此就需要输出特性具有滞回现象。如图3所示: (a) (b) 图3 滞回比较器 从输出端引入一个电阻分压支路到同相输入端,若Uo 改变状态,U∑ 点也随着改变点位,使过零点离开原来位置。当Uo 为正(记作U D )U∑=[ R2/(R2+ R f )]* U D ,则当UD> U∑后,Uo 再度回升到UD,于是出现图(b)中所示的滞回特性。- U∑ 与U∑ 的差别称为回差。改变R2 的数值可以改变回差的大小。 三、实验设备与器件 1、±12V直流电源 2、直流电压表 3、函数信号发生器 4、交流毫伏表 5、双踪示波器 6、运算放大器μA741×2 7、稳压管2CW231×1 8、二极管4148×2 9、电阻器等 四、实验内容 1、过零电压比较器 (1)如图5所示在运放系列模块中正确连接电路,并接通±12V电源。 图5 过零比较器

4位数值比较器设计

电子技术课程设计报告题目: 4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+FA3=B3FA2=B2FA1=B1FA0=B0IA>B (2-1)FAB、IAB、IAB=IA

4位输入数据的一般数值比较器电路设计

课程设计报告 课程名称数字逻辑课程设计 课题4位输入数据的一般数值的比较 电路的设计 专业计算机科学与技术 班级计算机1202 学号

姓名周逢露 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题4位输入数据的一般数值 比较电路的设计 专业班级计算机科学与技术

学生姓名周逢露 学号201203010202 指导老师刘洞波陈淑红陈多 审批刘洞波 任务书下达日期:2013年12月13日任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1EDA技术与VHDL程序 开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22416-72010TP312VH/36 2VHDL电路设计雷伏容清华大学出版 社 7-302-14226-22006TN702/185 3VHDL 电路设计技术王道宪贺名臣 刘伟 国防工业出版 社 7-118-03352-92004TN702/62 4VHDL 实用技术潘松,王国栋7-810657-81065-290-72000TP312VH/1 5VHDL 语言100 例详解北京理工大学 ASIC研究所 7-9006257-900625-02-X1999TP312VH/3 6VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9200073.9621/W38V

相关主题
文本预览
相关文档 最新文档