当前位置:文档之家› 江西省电子设计大赛题目及电路解析

江西省电子设计大赛题目及电路解析

江西省电子设计大赛题目及电路解析
江西省电子设计大赛题目及电路解析

年江西省电子设计大赛题目及电路解析

————————————————————————————————作者:————————————————————————————————日期:

2 0 1 2年江西省大学生电子设计制作现场赛赛题

某县,有一个免费参观的“陶渊明故里纪念馆”,其入口与出口分道进出,且采用如同地铁的入口、出口的措施,确保单向通行。在入口与出口处还设有红外检测、声响、累加计数器装置,当游人进(出)馆时,须穿过红外检测器。凡有人进入检测区,立即发出清晰、响亮的声响“叮…当…,,,然后计数器自动加1 。这样,可自动统计每天的参观人数,同时当工作人员下班、闭馆前,先检查出、入口累加计数器的计录。若出口计录小于入口计录数,说明馆内还有游人。工作人员便发出通知。直至出、入口计数器计录相等,工作人员便闭馆下班。

请参赛者在赛场提供的器材中,选取所需器材,利用传感器技术及模拟电路、数字电路知识,设计、制作一个能模拟完成上述入口(或出口)处的红外检测、声响、计数功能的电子作品,限于竞赛条件,累加计数器只用2位,即计数范围为“1-99"。

要求:

1)红外检测部件间距:可客人的中指缓慢穿过而不会碰及红外管,为此要求发射管与接收管工作面间距至少有2 5mm,即俯视看万能板,发射管与接收管的工作面,二者间至少相隔1 0个焊孔的距离。

2)手动清零:进入工作状态前,先手动清霉(复位),显“00",再处于等待工作的状态(不是自动复位)。

3)声响要求:“叮…当…”,.必须是清晰、响亮的双音(犹如门铃声),单音无效。

4)工作顺序:必须先进入检测、再发出“叮…当…”声响,最后累加计数并显示计录结果。彼此动作连贯,但能分清先后,当发.出“叮…”声时门闸打开,发出“当…”声时游人进门,计数显示。:_

5)扬声器固定在万能板上:为防止作品在评审时出故障,扬声器必须固定在万台皂

板的元件面,可用IN4007多余的引线剪下后,一头从板的元件面穿过再焊在万能板上,另一头(较长)留在元件面,往下压扣住扬声器,这样,一般有2根足矣。

6)电源输出用绿色发光管指示,红外管输出用黄色发光管指示。

核心芯片管脚图:

CD4518 CD4520 引脚图CD4511管脚图

2012年电子设计大赛仿真电路图

电路模块解析:

1.光耦合器端,电阻R20调节红外发射管的灵敏度,使得红外接收管与电阻R1分压。当用手指挡住红外接收管时,红外接收管两端的电压高,此时LED指示灯D1亮,当手松开时,接收管两端的电压降低接近于0V,此时LED指示灯D1不亮。如此循环计数,

2.LM358的作用是波形的整形,使得红外接收管的输出信号为规则的脉冲波,便于计数芯片计数。

3.C3、R12构成上电复位电路,利用电容对交流短路的特性,在上电的瞬间电容短路,电阻两端的电压即为电源电压,电容充满电后电阻两端电压即为0V,电容旁边的按键为复位按键,即为手动清零。

4.计数由CD4518完成,后面接CD4511驱动数码管显示数字,R4、R5、R6、R7、R8、R9、R10、R11为输入下拉电阻,用于保持电路的稳定性。一般取值10KR。RN1 RN2为数码管的限流电阻,一般取值500R。

5.三极管Q1用于驱动继电器,D2与继电器构成放电回路,用于保护开关管。继电器在此切换电阻,改变NE555的输出频率。从而使得喇叭发出两种声音。

附:CD4518详细资料:

CD4518/CC4518是二、十进制(8421编码)同步加计数器,内含两个单元的加计数器,其功能表如真值表所示。每单个单元有两个时钟输入端CLK和EN,可用时钟脉冲的上升沿或下降沿触发。由表可知,若用ENABLE信号下降沿触发,触发信号由EN端输入,CLK 端置“0”;若用CL℃K信号上升沿触发,触发信号由CL℃K端输入,ENABLE端置“1”。RESET端是清零端,RESET端置“1”时,计数器各端输出端Q1~Q4均为“0”,只有RESET 端置“0”时,CD4518才开始计数。

CD4518采用并行进位方式,只要输入一个时钟脉冲,计数单元Q1翻转一次;当Q1为1,Q4为0时,每输入一个时钟脉冲,计数单元Q2翻转一次;当Q1=Q2=1时,每输入一个时钟脉冲Q3翻转一次;当Q1=Q2=Q3=1或Q1=Q4=1时,每输入一个时钟脉冲Q4翻转一次。这样从初始状态(“0”态)开始计数,每输入10个时钟脉冲,计数单元便自动恢复到“0”态。若将第一个加计数器的输出端Q4A作为第二个加计数器的输入端ENB的时钟脉冲信号,便可组成两位8421编码计数器,依次下去可以进行多位串行计数。

CD4520/CC4520为二进制加计数器,由两个相同的内同步4级计数器构成。计数器级为D型触发器,具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数。在单个单元运算中,EN输入保持高电平,且在CP上升沿进位。CR线为高电平时,计数器清零。计数器在脉动模式可级联,通过将Q3连接至下—计数器的EN输入端可实现级联,同时后者的CP输入保持低电平。

引脚功能:

引脚符号功能

1 9 CLOCK 时钟输入端

7 15 RESET 消除端

2 10 ENABLE 计数允许控制端

3 4 5 6 Q1A-Q4A 计数输出端

11 12 13 14 Q1B-Q4B 计数输出端

8 VSS 地

16 VDD 电源正

真值表功能:

CL℃K ENABLE RESET ACTION 上升沿 1 0 加计数

0 下降沿0 加计数

下降沿X 0 不变

X 上升沿0 不变上升沿0 0 不变

1 下降沿0 不变

X X 1 Q0~Q4=0

CD4518 CD4520时序图

纹波串联4个计数器正极性边缘触发

同步串联二进制计数器负边缘触发

极限参数:

DC Supply Voltage Range, (VDD) -0.5V to +20V (Voltage Referenced to VSS Terminals)

Input Voltage Range, All Inputs输入电压范围,所有投

-0.5V to VDD +0.5V

DC Input Current, Any One Input直流输入电流±10mA

Operating Temperature Range 工作温度范围-55℃ to +125℃

Storage Temperature Range (TSTG)储存温度范围-65℃ to +150℃

注意:其中CD4520与CD4518的引脚完全相同,功能也类似,内部也有两个相同的同步加

计数器,不同的是CD4518属二一十进制型,而CD4520属二进制型,

爱农杯电子电路设计大赛

“爱农杯”电子电路设计大赛设计题目:(B题)远程温湿度测量装置 报告日期:

目录 目录............................................................................................................................................................ II 第一章任务要求.. (1) 第二章方案论证 2.1温度测量方案 (1) 2.2湿度测量方案 (1) 2.3远程数据传输方案 (2) 第三章系统设计与论证计算 3.1总体设计 (2) 3.2流程图 (2) 第四章理论分析与计算 4.1 HS1101湿度计算 (5) 4.2 红外发射接收模块分析 (5) 第五章电路图及软件设计 5.1分部电路图 (8) 5.2 整体电路仿真图 (10) 5.3实物图 (11) 5.4源程序 (11) 第六章设计总结 (11) 参考文献: (11) 附录 (11)

第一章任务要求 (B题)远程温湿度测量装置 一、任务 设计并制作一个远程温湿度测量装置,该测试装置具有温湿度测量和远程显示等功能。其结构框图如下: 二、要求 (1)通过可编程控制器、变换器和温湿度传感器采集温湿度数据并在LED上显示。 (2)温度误差<1℃,湿度误差<1%,温度测量范围0℃~100℃,湿度测量范围1%~99%。 (3)可用电池供电。 第二章方案论证 2.1温度测量方案 采用DS18B20数字温度传感器。DS18B20温度传感器的技术性能:单线接口方式,DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯,测温范围-55℃~+125℃,固有测温分辨率0.5℃,工作电源: 3~5V/DC,测量结果以9~12位数字量方式串行传送。 基于设计要求的考虑,即温度误差<1℃,温度测量范围0℃~100℃,方案二完全能满足要求,且设计电路和程序编写较为简单,本设计采用方案二。 2.2湿度测量方案 采用HS1101湿度传感器。HS1101湿度传感器技术参数:工作温度:-40~100 ℃,湿度范围:0~100 %RH。曲线精度(10%~90%):+/-2 %RH,供电电压:5~10 V。特点:全互换性在标准环境下不需校正,长时间饱和下快速脱湿,高可靠性与长时间稳定性,可用于线性电压或频率输出回炉,

电气原理图设计方法及实例分析

电气原理图设计方法及实例分析 【摘要】本文主要对电气原理图绘制的要求、原则以及设计方法进行了说明,并通过实例对设计方法进行了分析。 【关键词】电气原理图;设计方法;实例 继电-接触器控制系统是由按钮、继电器等低压控制电器组成的控制系统,可以实现对 电力拖动系统的起动、调速等动作的控制和保护,以满足生产工艺对拖动控制的要求。继电-接触器控制系统具有电路简单、维修方便等许多优点,多年来在各种生产机械的电气控制 中获得广泛的应用。由于生产机械的种类繁多,所要求的控制系统也是千变万化、多种多样的。但无论是比较简单的,还是很复杂的控制系统,都是由一些基本环节组合而成。因此本节着重阐明组成这些控制系统的基本规律和典型电路环节。这样,再结合具体的生产工艺要求,就不难掌握控制系统的分析和设计方法。 一、绘制电气原理图的基本要求 电气控制系统是由许多电气元件按照一定要求连接而成,从而实现对某种设备的电气自动控制。为了便于对控制系统进行设计、研究分析、安装调试、使用和维修,需要将电气控制系统中各电气元件及其相互连接关系用国家规定的统一图形符号、文字符号以图的形式表示出来。这种图就是电气控制系统图,其形式主要有电气原理图和电气安装图两种。 安装图是按照电器实际位置和实际接线电路,用给定的符号画出来的,这种电路图便于安装。电气原理图是根据电气设备的工作原理绘制而成,具有结构简单、层次分明、便于研究和分析电路的工作原理等优点。绘制电气原理图应按GB4728-85、GBTl59-87等规定的标 准绘制。如果采用上述标准中未规定的图形符号时,必须加以说明。当标准中给出几种形式时,选择符号应遵循以下原则: ①应尽可能采用优选形式; ②在满足需要的前提下,应尽量采用最简单形式; ③在同一图号的图中使用同一种形式。 根据简单清晰的原则,原理图采用电气元件展开的形式绘制。它包括所有电气元件的导电部件和接线端点,但并不按照电气元件的实际位置来绘制,也不反映电气元件的大小。由于电气原理图具有结构简单、层次分明、适于研究等优点,所以无论在设计部门还是生产现场都得到广泛应用。 控制电路绘制的原则: ①原理图一般分主电路、控制电路、信号电路、照明电路及保护电路等。 ②图中所有电器触头,都按没有通电和外力作用时的开闭状态(常态)画出。 ③无论主电路还是辅助电路,各元件应按动作顺序从上到下、从左到右依次排列。 ④为了突出或区分某些电路、功能等,导线符号、连接线等可采用粗细不同的线条来表示。 ⑤原理图中各电气元件和部件在控制电路中的位置,应根据便于阅读的原则安排。同一电气元件的各个部件可以不画在一起,但必须采用同一文字符号标明。 ⑥原理图中有直接电联系的交叉导线连接点,用实心圆点表示;可拆卸或测试点用空心圆点表示;无直接电联系的交叉点则不画圆点。 ⑦对非电气控制和人工操作的电器,必须在原理图上用相应的图形符号表示其操作方式。 ⑧对于电气控制有关的机、液、气等装置,应用符号绘出简图,以表示其关系。 二、分析设计法及实例设计分析 根据生产工艺要求,利用各种典型的电路环节,直接设计控制电路。这种设计方法比较简单,但要求设计人员必须熟悉大量的控制电路,掌握多种典型电路的设计资料,同时具有丰富的设计经验,在设计过程中往往还要经过多次反复地修改、试验,才能使电路符合设计

电子电路设计软件

电子电路设计软件 我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。 说到电子电路设计与仿真工具这项技术,就不能不提到美国,不能不提到他们的飞机设计为什么有很高的效率。以前我国定型一个中型飞机的设计,从草案到详细设计到风洞试验再到最后出图到实际投产,整个周期大概要10年。而美国是1年。为什么会有这样大的差距呢?因为美国在设计时大部分采用的是虚拟仿真技术,把多年积累的各项风洞实验参数都输入电脑,然后通过电脑编程编写出一个虚拟环境的软件,并且使它能够自动套用相关公式和调用长期积累后输入电脑的相关经验参数。这样一来,只要把飞机的外形计数据放入这个虚拟的风洞软件中进行试验,哪里不合理有问题就改动那里,直至最佳效果,效率自然高了,最后只要再在实际环境中测试几次找找不足就可以定型了,从他们的波音747到F16都是采用的这种方法。空气动力学方面的数据由资深专家提供,软件开发商是IBM,飞行器设计工程师只需利用仿真软件在计算机平台上进行各种仿真调试工作即可。同样,他们其他的很多东西都是采用了这样类似的方法,从大到小,从复杂到简单,甚至包括设计家具和作曲,只是具体软件内容不同。其实,他们发明第一代计算机时就是这个目的(当初是为了高效率设计大炮和相关炮弹以及其他计算量大的设计)。 电子电路设计与仿真工具包括SPICE/PSPICE;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright Spark等。下面简单介绍前三个软件。 ①SPICE(Simulation Program with Integrated Circuit Emphasis):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE的微机版PSPICE(Personal-SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。 ②multiSIM(EWB的最新版本)软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent信号发生器、Agilent万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行VHDL仿真和Verilog HDL仿真。 ③MATLAB产品族:它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和

电子设计大赛综合测评题课程设计解析

郑州轻工业学院 电子技术课程设计> @ 题目: 2015年电赛测评试题 姓名:王苗龙 专业班级:电信13-01 学号: 0134 ~ 院(系):电子信息工程学院 指导教师:曹卫锋谢泽会

完成时间: 2015年10月 29日 郑州轻工业学院 课程设计任务书 题目 2015年电子设计大赛综合测评试题 ~ 专业电信工程13-1 学号 0134 姓名王苗龙 主要内容、基本要求、主要参考资料等: 主要内容 1.阅读相关科技文献。 2.学习电子制图软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 ~ 1、使用555时基电路产生频率20kHz-50kHz连续可调,输出电压幅度为1V的方波Ⅰ; 2、使用数字电路74LS74,产生频率5kHz-10kHz连续可调,输出电压幅度为1V的方波Ⅱ; 3、使用数字电路74LS74,产生频率5kHz-10kHz连续可调,输出电压幅度峰峰值为3V的三角波; 4、产生输出频率为20kHz-30kHz连续可调,输出电压幅度峰峰值为3V的正弦波Ⅰ; 5、产生输出频率为250kHz,输出电压幅度峰峰值为8V的正弦波Ⅱ;方波、三角波和正弦波的波形应无明显失真(使用示波器测量时)。频率误差不大于5%;通带内输出电压幅度峰峰值误差不大于5%。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2010年8月 . 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月 4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月 5.康华光,电子技术基础,高教出版社,2006年1月 完成期限: 2015年10月30日 指导教师签章:

2014年江西省大学生电子设计制作现场(25届)赛题

2014年江西省大学生电子设计制作现场(25届)赛题 一、题意 参赛者在赛场提供的器材中,选取所需元件,设计、制作一个触摸式一位数(显)摇奖(号)机。该摇奖(号)机符合如下工作过程: 1、作品插上220V50HZ的交流电源,绿色发光二极管正常发亮,表明直流稳压电源输出良好。 2、摇奖(号)前的准备。先查验数码显示情况,本作品数码管的数字转换速率有快、慢两档,在不触及“触摸电极”的情况下,按一下“速率”键,便可辨清数码管慢速的按0、1、2、 3、 4、 5、 6、 7、 8、9有序地周而复始地转换。查验完毕后,再按一下“速率”按键,数码转换立即停止,并稳定地显示在最后一个随机数字上,在查验过程中,“触摸”对数显无效,最后按“复位”键,数码显示“0”。 3、摇奖(号)机操作。在作品显示“0”的情况下开始摇奖(号),用食指触及“触摸电极”,瞬间蜂鸣器发出响声(要求10米外可清晰听到),红色发光二极管亮,同时数码管显示快速地按0~9顺序周而复始转换数字(要求此时人眼分辨不清数字及其顺序)。3秒钟后的任一时刻,食指离开“触摸点极”,蜂鸣器立刻停响,表明数码快速转换还需延时数秒(要求大于3秒)钟,一旦红色发光管“熄灭”,数码转换即停并稳定地显示在最后一个数字上,这个数字就是本次摇出的奖品。 4、按“复位”键,数码管显示“0”准备摇下一个奖品。 5、重复第3、4步骤,可摇出第2、第3~~个奖品。 二、制作要求 1、必须有“复位”功能,每次摇奖(号)前,需手动按“复位”键,数码管

显示“0” 2、数码管的数字转换“速率”有快、慢两档,用“速率”按键管理换档。慢速档是便于查验摇奖(号)机能否有序地转换0、1、2、 3、 4、 5、 6、 7、 8、9十个数字;快速档是防避操作者作弊的措施之一。 3、“复位”键与“速率”键用不干胶贴在按键帽上标明。 4、作品设置“警示”声响,凡手指手触即响,手离即停,这可让观众感知操作者的有效触摸情况。 5、延时功能。为防避操作者作弊措施之二是当手指离开触摸电极时,声响停但红色发光管不“熄灭”,数码管数字继续快速转换,应延时不少于3秒钟。一旦红色发光管“熄灭”,表明延时结束,数码转换停止,显示在最后一个数字上。 6、振荡器好坏用黄色发光二极管指示。 7、触摸电极由参赛者用裸线自制。 8、直流稳压电源输出12V>=U0>=5V。 9、交作品时,“速率”键务必置于慢速档。-

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

微电子电路课程设计

课程设计报告 微电子电路 带有源负载的共源极放大器与带有源负载的cascode 放大器 集成电路设计 目录 1.课程设计目的···································页码3 2.课程设计题目描述和要求·························页码3 3.设计思路·······································页码4 4.带有源负载的共源极放大器设计过程及结果·········页码5 5.带有源负载的cascode放大器设计过程及结果·······页码7 6.心得体会·······································页码9 7.参考书目·······································页码9

2 1.课程设计目的 深刻理解课本上学到的知识,建立各个章节的知识体系之间的联系。 加强动手能力和运用课本知识理论解决问题的能力。 对于放大器的性能和参数有更深刻的理解和掌握。 2.课程设计题目描述和要求 分析如图这样的带有源负载的共源极放大器与带有源负载的cascode 放大器的开环增益,3dB 频宽,单位增益频率。其中负载电容为3PF ,电源电压为5V ,要求CS 放大器的开环增益大于30dB ,cascode 放大器的开环增益大于60 dB 。对仿真结果进行分析,功耗小于2mW 。 Vdd C

3 Vdd C 3.设计思路:根据题目要求来计算以cs 放大器为例 ⑴功率不超过2mW ,电源为 5v ,得到总电流不能超过400uA 。 ⑵开始分配给ID 的电流为50u 运用了镜像电流源,电流大小之比为2,在长度一定时候的宽度之比也是2,故在右边电路的id 为100u ⑶根据公式 对于n 管来说,预估一个过驱动电压0.4v (大约0.2-0.5v )均可。计算出来n 管宽长比为11.26,取11。因为实验中给定了n 管的阈值电压为0.723v ,所以,可以确定栅源电压为1.1v 左右。 对于p 管来说,预估一个过驱动电压为0.5v (大约0.2-0.5v )均可。经过计算,p 管的宽长比为11.59,取12 。

电子设计大赛常用电路图

错误 !未定义书签。 图2 L293D 的电机驱动电路 图3 电源稳压电路 图4 降压电路

图3 降压斩波电路原理图 图4 电流检测模块

OS CI ICE_SDA ICE_SCK ICE_EN AV SS1OP I AGC M ICOUT DA C2DA C IOB12IOB11IOB15IOB13SLE EP IOB14VS S IOA12IOA14IOA11IOA10IOA15IOA13I O B 9I O B 10IOA9 I O B 5I O B 8I O B 7V C P I O A 8 V D D H I O A 6I O A 7V S S VS S V D D H VS S V R T A V S S 1 V D D _P I O B 2V C M I O A 3I O B 6I O B 1I O A 1V M I C I O B 0I O A 2M I C P R E S _B I O B 4 I O A 4 I O B 3I O A 0I O A 5VREF2V S S V D D H SPCE061A DA C1M ICN AV SS1VDD VS S VS S VS S OS CO +C29100u C31104 U1 OS C32O 12OS C32I 13XT EST 14VDD 15XICE 16XICECLK 17XICES DA 18VS S 19PV IN 20DA C121DA C222VREF223VS S 24AGC 25OP I 26M ICOUT 27M ICN 28PFUSE 29M I C P 33V C M 34V R T P A D 35V D D 36V M I C 37V S S 38I O A 041I O A 142I O A 243I O A 344I O A 445I O A 546I O A 647I O A 748V S S 49V S S 50V D D H 51V D D H 52I O A 8 53 N C 39N C 40NC 30NC 31NC 32 IOA9 54 IOA1055IOA1156IOA1257IOA1358IOA1459IOA1560XROM T 61VS S 62XS LEEP 63IOB1564IOB1465IOB1366IOB1267IOB1168PV PP 69V D D H 75 I O B 1076I O B 977NC 70NC 71NC 72NC 73NC 74I O B 878I O B 779I O B 680I O B 581I O B 41I O B 32I O B 23N C 82N C 83N C 84I O B 14I O B 05X R E S B 6V D D 7V C P 8V S S 9N C 10N C 11C8104C7104C18104 +C5 100u C28104 + C27100u +C17100u + C4100u V D D _A SPCE061A 芯片引脚电路图 电机驱动电路 图5 电源变换电路图

电子系统设计的基本原则和方法

电子系统设计的基本原则和设计方法 一、电子系统设计的基本原则: 电子电路设计最基本的原则应该使用最经济的资源实现最好的电路功能。具体如下: 1、整体性原则 在设计电子系统时,应当从整体出发,从分析电子电路整体内部各组成元件的关系以及电路整体与外部环境之间的关系入手,去揭示与掌握电子系统整体性质,判断电子系统类型,明确所要设计的电子系统应具有哪些功能、相互信号与控制关系如何、参数指标在那个功能模块实现等,从而确定总体设计方案。 整体原则强调以综合为基础,在综合的控制与指导下,进行分析,并且对分析的结果进行恰当的综合。基本的要点是:(1)电子系统分析必须以综合为目的,以综合为前提。离开了综合的分析是盲目的,不全面的。(2)在以分析为主的过程中往往包含着小的综合。即在对电子系统各部分进行分别考察的过程中,往往也需要又电子局部的综合。(3)综合不许以分析为基础。只有对电子系统的分析了解打到一定程度以后,才能进行综合。没有详尽以分析电子系统作基础,综合就是匆忙的、不坚定的,往往带有某种主管臆测的成分。 2、最优化原则 最优化原则是一个基本达到设计性能指标的电子系统而言的,由于元件自身或相互配合、功能模块的相互配合或耦合还存在一些缺陷,使电子系统对信号的传送、处理等方面不尽完美,需要在约束条件的限制下,从电路中每个待调整的原器件或功能模块入手,进行参数分析,分别计算每个优化指标,并根据有忽而

指标的要求,调整元器件或功能模块的参数,知道目标参数满足最优化目标值的要求,完成这个系统的最优化设计。 3、功能性原则 任何一个复杂的电子系统都可以逐步划分成不同层次的较小的电子子系统。仙子系统设计一般先将大电子系统分为若干个具有相对独立的功能部分,并将其作为独立电子系统更能模块;再全面分析各模块功能类型及功能要求,考虑如何实现这些技术功能,即采用那些电路来完成它;然后选用具体的实际电路,选择出合适的元器件,计算元器件参数并设计个单元电路。 4、可靠性与稳定性原则 电子电路是各种电气设备的心脏,它决定着电气设备的功能和用途,尤其是电气设备性能的可靠性更是由其电子电路的可靠性来决定的。电路形式及元器件选型等设计工作,设计方案在很大程度上也就决定可靠性,在电子电路设计时应遵循如下原则:只要能满足系统的性能和功能指标就尽可能的简化电子电路结构;避免片面追求高性能指标和过多的功能;合理划分软硬件功能,贯彻以软代硬的原则,使软件和硬件相辅相成;尽可能用数字电路代替模拟电路。影响电子电路可靠性的因素很多,在发生的时间和程度上的随机性也很大,在设计时,对易遭受不可靠因素干扰的薄弱环节应主动地采取可靠性保障措施,使电子电路遭受不可靠因素干扰时能保持稳定。抗干扰技术和容错设计是变被动为主动的两个重要手段。 5、性能与价格比原则 在当今竞争激烈的市场中,产品必须具有较短的开发设计周期,以及出色的性能和可靠性。为了占领市场,提高竞争力,所设计的产品应当成本低、性能好、

第五届电子电路设计大赛

一、远程温湿度测量系统 一、任务 制作一个远程温湿度测量仪,该测试仪具有温湿度测量和远程显示等功能。其结构框图如下: 二、要求 1、基本要求 (1)通过可编程控制器或单片机、变换器和温湿度传感器采集温湿度数据并用LED上显示; (2)温度误差<1℃,湿度误差<1%,温度测量围0℃~120℃,湿度测量围1%~99%;(3)可用电池供电; 2、发挥部分 (1)设计红外二极管发射电路和红外接收电路,实现温湿度数据的准确可靠发送和接收;(2)设计射频发射电路和接收电路,实现温湿度数据的准确可靠发送和接收; (3)最好采用微型化的温湿度传感器,无线传输距离>5米; (4)采用外构发射电路和接收电路,实现温湿度数据的准确可靠发送和接收; 三、评分标准

容得分 设计报告20分 基本部分50分 自制 50分 发挥部分 外购20分 二、简易电能质量监测装置 一.任务 设计并制作一个能同时对一路工频交流电的频率、电压有效值、电流有效值、有功功率、无功功率、功率因数、谐波等进行测量的数字式电能质量监测装置(图C-1虚线框电路)。为便于本试题的设计与制作,设定待测的交流输入电压100~500V,待测的交流输入电流2~10A均经由相应的变换器转换为对应的1~5V交流电压。 图C-1 二.要求 2.1 基本部分

(1)测量交流输入电压有效值。 频率:50Hz;测量围:100~500V;准确度:±1%。 (2)测量交流输入电流有效值。 频率:50Hz;测量围:2~10A;准确度:±1%。 (3)测量并显示有功功率P、无功功率Q、视在功率S及功率因数PF。 (4)自制直流电源。 2.2 发挥部分 (1)测量交流输入电压频率,精度为±0.5%。 (2)采用LCD显示,能够同时显示一个周期的输入电压、输入电流曲线。 (3)测量电压和电流的各次谐波含量 以N次电压谐波含有率为例,N次谐波含有率为N次谐波电压的均方根值与基波电压有效值之比,电流谐波含有率计算方法同电压谐波含有率。测量至5次谐波,采用列表和百分数形式显示,测量误差<1%。 (4)各次电流谐波含有率在列表显示方式中除了能够以百分比显示外,还能够显示各次谐波的有效值。 三、说明 1.调试时可用函数发生器输出的正弦信号电压作为一路交流电压信号;再经移相输出代表同一路的电流信号,移相网络自制。 2.检查交流电压、交流电流有效值、电压和电流谐波时,可采用函数发生器输出的对称方波信号。电压基波、谐波的测试可用函数发生器输出的对称方波作为标准信号。

2012年江西省电子设计大赛题目及电路解析

2012年江西省电子设计大赛题目及电路解析

2 0 1 2年江西省大学生电子设计制作现场赛赛题 某县,有一个免费参观的“陶渊明故里纪念馆”,其入口与出口分道进出,且采用如同地铁的入口、出口的措施,确保单向通行。在入口与出口处还设有红外检测、声响、累加计数器装置,当游人进(出)馆时,须穿过红外检测器。凡有人进入检测区,立即发出清晰、响亮的声响“叮…当…,,,然后计数器自动加 1 。这样,可自动统计每天的参观人数,同时当工作人员下班、闭馆前,先检查出、入口累加计数器的计录。若出口计录小于入口计录数,说明馆内还有游人。工作人员便发出通知。直至出、入口计数器计录相等,工作人员便闭馆下班。 请参赛者在赛场提供的器材中,选取所需器材,利用传感器技术及模拟电路、数字电路知识,设计、制作一个能模拟完成上述入口(或出口)处的红外检测、声响、计数功能的电子作品,限于竞赛条件,累加计数器只用2位,即计数范围为“1-99"。 要求: 1)红外检测部件间距:可客人的中指缓慢穿

过而不会碰及红外管,为此要求发射管与接收管工作面间距至少有2 5mm,即俯视看万能板,发射管与接收管的工作面,二者间至少相隔1 0个焊孔的距离。 2)手动清零:进入工作状态前,先手动清霉(复位),显“00",再处于等待工作的状态(不是自动复位)。 3)声响要求:“叮…当…”,.必须是清晰、响亮的双音(犹如门铃声),单音无效。 4)工作顺序:必须先进入检测、再发出“叮…当…”声响,最后累加计数并显示计录结果。彼此动作连贯,但能分清先后,当发.出“叮…”声时门闸打开,发出“当…”声时游人进门,计数显示。:_ 5)扬声器固定在万能板上:为防止作品在评审时出故障,扬声器必须固定在万台皂板的元件面,可用IN4007多余的引线剪下后,一头从板的元件面穿过再焊在万能板上,另一头(较长)留在元件面,往下压扣住扬声器,这样,一般有2根足矣。 6)电源输出用绿色发光管指示,红外管输出用黄色发光管指示。

电子电路设计的一般方法和步骤

电子电路设计的一般方法与步骤 一、总体方案的设计与选择 1.方案原理的构想 (1)提出原理方案 一个复杂的系统需要进行原理方案的构思,也就是用什么原理来实现系统要求。因此,应对课题的任务、要求和条件进行仔细的分析与研究,找出其关键问题是什么,然后根据此关键问题提出实现的原理与方法,并画出其原理框图(即提出原理方案)。提出原理方案关系到设计全局,应广泛收集与查阅有关资料,广开思路,开动脑筋,利用已有的各种理论知识,提出尽可能多的方案,以便作出更合理的选择。所提方案必须对关键部分的可行性进行讨论,一般应通过试验加以确认。 (2)原理方案的比较选择 原理方案提出后,必须对所提出的几种方案进行分析比较。在详细的总体方案尚未完成之前,只能就原理方案的简单与复杂,方案实现的难易程度进行分析比较,并作出初步的选择。如果有两种方案难以敲定,那么可对两种方案都进行后续阶段设计,直到得出两种方案的总体电路图,然后就性能、成本、体积等方面进行分析比较,才能最后确定下来。 2.总体方案的确定 原理方案选定以后,便可着手进行总体方案的确定,原理方案只着眼于方案的原理,不涉及方案的许多细节,因此,原理方案框图中的每个框图也只是原理性的、粗略的,它可能由一个单元电路构成,亦可能由许多单元电路构成。为了把总体方案确定下来,必须把每一个框图进一步分解成若干个小框,每个小框为一个较简单的单元电路。当然,每个框图不宜分得太细,亦不能分得太粗,太细对选择不同的单元电路或器件带来不利,并使单元电路之间的相互连接复杂化;但太粗将使单元电路本身功能过于复杂,不好进行设计或选择。总之,

应从单元电路和单元之间连接的设计与选择出发,恰当地分解框图。 二、单元电路的设计与选择 1.单元电路结构形式的选择与设计 按已确定的总体方案框图,对各功能框分别设计或选择出满足其要求的单元电路。因此,必须根据系统要求,明确功能框对单元电路的技术要求,必要时应详细拟定出单元电路的性能指标,然后进行单元电路结构形式的选择或设计。 满足功能框要求的单元电路可能不止一个,因此必须进行分析比较,择优选择。 2.元器件的选择 (1)元器件选择的一般原则 元器件的品种规格十分繁多,性能、价格和体积各异,而且新品种不断涌现,这就需要我们经常关心元器件信息和新动向,多查阅器件手册和有关的科技资料,尤其要熟悉一些常用的元器件型号、性能和价格,这对单元电路和总体电路设计极为有利。选择什么样的元器件最合适,需要进行分析比较。首先应考虑满足单元电路对元器件性能指标的要求,其次是考虑价格、货源和元器件体积等方面的要求。 (2)集成电路与分立元件电路的选择问题 随着微电子技术的飞速发展,各种集成电路大量涌现,集成电路的应用越来越广泛。今天,一块集成电路常常就是具有一定功能的单元电路,它的性能、体积、成本、安装调试和维修等方面一般都优于由分立元件构成的单元电路。 优先选用集成电路不等于什么场合都一定要用集成电路。在某些特殊情况,如:在高频、宽频带、高电压、大电流等场合,集成电路往往还不能适应,有时仍需采用分立元件。另外,对一些功能十分简单的电路,往往只需一只三极管或一只二极管就能解决问题,就不必选用集成电路。

电子电路设计的基础知识

电子电路设计的基础知识 一、电子电路的设计基本步骤: 1、明确设计任务要求: 充分了解设计任务的具体要求如性能指标、内容及要求,明确设计任务。 2、方案选择: 根据掌握的知识和资料,针对设计提出的任务、要求和条件,设计合理、可靠、经济、可行的设计框架,对其优缺点进行分析,做到心中有数。 3、根据设计框架进行电路单元设计、参数计算和器件选择: 具体设计时可以模仿成熟的电路进行改进和创新,注意信号之间的关系和限制;接着根据电路工作原理和分析方法,进行参数的估计与计算;器件选择时,元器件的工作、电压、频率和功耗等参数应满足电路指标要求,元器件的极限参数必须留有足够的裕量,一般应大于额定值的1.5倍,电阻和电容的参数应选择计算值附近的标称值。 4、电路原理图的绘制: 电路原理图是组装、焊接、调试和检修的依据,绘制电路图时布局必须合理、排列均匀、清晰、便于看图、有利于读图;信号的流向一般从输入端或信号源画起,由左至右或由上至下按信号的流向依次画出务单元电路,反馈通路的信号流向则与此相反;图形符号和标准,并加适当的标注;连线应为直线,并且交叉和折弯应最少,互相连通的交叉处用圆点表示,地线用接地符号表示。 二、电子电路的组装 电路组装通常采用通用印刷电路板焊接和实验箱上插接两种方式,不管哪种方式,都要注意: 1.集成电路:

认清方向,找准第一脚,不要倒插,所有IC的插入方向一般应保持一致,管脚不能弯曲折断; 2.元器件的装插: 去除元件管脚上的氧化层,根据电路图确定器件的位置,并按信号的流向依次将元器件顺序连接; 3.导线的选用与连接: 导线直径应与过孔(或插孔)相当,过大过细均不好;为检查电路方便,要根据不同用途,选择不同颜色的导线,一般习惯是正电源用红线,负电源用蓝线,地线用黑线,信号线用其它颜色的线;连接用的导线要求紧贴板上,焊接或接触良好,连接线不允许跨越IC或其他器件,尽量做到横平竖直,便于查线和更换器件,但高频电路部分的连线应尽量短;电路之间要有公共地。 4.在电路的输入、输出端和其测试端应预留测试空间和接线柱,以方便测量调试; 5.布局合理和组装正确的电路,不仅电路整齐美观,而且能提高电路工作的可靠性,便于检查和排队故障。 三、电子电路调试 实验和调试常用的仪器有:万用表、稳压电源、示波器、信号发生器等。调试的主要步骤。 1.调试前不加电源的检查 对照电路图和实际线路检查连线是否正确,包括错接、少接、多接等;用万用表电阻档检查焊接和接插是否良好;元器件引脚之间有无短路,连接处有无接触不良,二极管、三极管、集成电路和电解电容的极性是否正确;电源供电包括极性、信号源连线是否正确;电源端对地是否存在短路(用万用表测量电阻)。 若电路经过上述检查,确认无误后,可转入静态检测与调试。 2.静态检测与调试 断开信号源,把经过准确测量的电源接入电路,用万用表电压档监测电源电压,观察有无异常现象:如冒烟、异常气味、手摸元器件发烫,电源短路等,如发现异常情况,立即切断电源,排除故障; 如无异常情况,分别测量各关键点直流电压,如静态工作点、数字电路各输入端和输出端的高、低电平值及逻辑关系、放大电路输入、输出端直流电压等是否在

2013年集成电路设计大赛作品报告(一等奖)

2013年“华大九天杯”大学生集成电路设计大赛 作品报告 独创性声明 本人声明所呈交的报告及芯片设计是本组成员在指导教师指导下进行的工作成果。尽本组人员所知,除了文中特别加以标注和致谢的地方外,不包含其他人设计或发表的设计成果,也不包含为获得第三届“华大九天杯”大学生集成电路设计大赛奖励而使用过的材料。 签名:日期: 关于报告使用授权的说明 本人完全了解第三届“华大九天杯”大学生集成电路设计大赛组委会有关保留、使用设计报告的规定,即:大赛组委会有权保留送交报告的复印件,允许报告被查阅和借阅;大赛组委会可以公布报告的全部或部分内容,可以采用影印、缩印或其他复制手段保存设计报告。 签名:指导导师签名:日期: *独创性声明属提交作品一部分,默认承认上述内容,纸质版申明将于总决赛正式提交。*

参赛组别ID:B0078 参赛学校:天津理工大学 参赛队员姓名:刘志远张春柳鲁强 设计作品整体说明 本参赛组作品为PLL锁相环,锁相环主要由四个部分构成:PFD,CP,LF,VCO,如下图,经过仿真,锁相环的频率可以工作在25~100MHz,控制电压3.3v,锁定时间8us左右。 原理图设计说明,电路图,仿真波形截图 根据各个模块的功能设计原理图,完成功能性仿真并不断调整 1、PFD PFD主要完成将参考信号和VCO产生的反馈信号的频率和相位进行对比,产生DOWN和UP信号以控制电荷泵。 原理图:

由于PFD为数字器件,所有MOS器件均采用如下参数:W=0.7 L=0.35 Finger=1 仿真波形图: 输入波形相位相同时UP和DOWN输出相同的脉宽: Ref超前时UP脉宽比DOWN宽,电荷泵充电: Ref滞后时,UP脉宽比DOWN窄,电荷泵放电:

2017年电子设计大赛比赛题目及评分标准

附件2 比赛题目及评分标准 【题目一】Xilinx哈夫曼编码 1.设计要求 要求对一段数据序列进行哈夫曼编码,使得平均码长最短,输出各元素编码和编码后的数据序列。 (1)组成序列的元素是[0-9]这10个数字,每个数字其对应的4位二进制数表示。比如5对应0101,9对应1001。 (2)输入数据序列的长度为256。 (3)先输出每个元素的编码,然后输出数据序列对应的哈夫曼编码序列。 2.电路接口 RTL级代码顶层模块名统一为HuffmanCoding,可参考电路接口与时序如下:(可以根据自身设计需求,对电路接口进行修改) module HuffmanCoding( input wire clk, input wire rst_n, input wire [3:0] data_in, input wire start, output wire output_data, output wire output_start, output wire output_done ); 1

clk rst_n start output_done (1)复位之后,当start信号高有效后开始连续输入256个数据序列,data_in数据宽度为4,输入需要256个时钟周期。 (2)经过运算后,output_start信号高有效后,开始输出结果output_data。output_data信号数据宽度是1,因此输出是二进制序列。最后output_done 高有效说明整个编码过程结束。 (3)output_data数据包含2个部分。先输出[0-9]这10个数字的哈夫曼编码(具体的输出格式可以自行设定),然后输出数据序列哈夫曼编码后的序列。 评分标准: 1. TestBench 建议先用C/C++或者Matlab等高级语言实现上述哈夫曼编码设计需求,并以此为基准,作为电路仿真的TestBench。 2. 电路功能 电路功能的完成情况,包括是否满足设计要求、源代码、仿真程序和文档说明等。要求代码书写规范,可读性强。严禁抄袭,如果需要使用第三方IP或者借鉴其他人的部分源码,请注明出处。 3. 性能 2

电子电路识图的基本方法和技巧

电子电路识图的基本方法和技巧 对初学者来说,复杂的电子电路图上布满了密密麻麻的电路符号,根本不知从何下手识图,也不能从电子电路原理图中找出电子产品的故障所在,更不能得心应手地去设计各种各样的电子电路。其实,只要对电子电路图进行仔仔细细观察,就会发现电子电路的构成具有很强的规律性,即相同类型的电子电路不仅功能相似,而且在电路结构上也是大同小异的。任何一张错综复杂、表现形式不同的电子电路图都是由一些最基本的电子电路组合而成的,构成复杂电子电路图的最基本电路称为单元电路。只要掌握了基本单元电路,任何复杂的电路都可以看成是基本单元电路的集合。1.从基本元器件入手,为识图打下良好的基础。电子元器件是构成电子产品的基础。因此,了解电子元器件的基础知识,掌握不同元器件在电路中的电路表示符号及各元器件的基本功 能特点是进行电子识图的第一步。 2.掌握基本单元电路,为识读复杂电路打下基础。在学习基本单元电路时,要掌握好基本单元电路的工作原理、电路的功能及特性、电路典型参数、组成电路的元器件、每一个元器件在电路中所起的作用及电路调试方法等。 3.分解复杂电路。复杂电路被分解为基本单元电路后,就可以根据一个个基本单元电路的功能、特点进而分析到整个复

杂的电子电路,设计出各种各样的电路。 4.掌握基本单元电路之间的连接方法。基本单元电路之间可以直接连接起来,叫做直接耦合;通过变压器的初、次级间的磁感应来实现信号的连接,叫做变压器耦合;用电容来连接,叫做电容耦合。 5.明确各分体元器件在电子电路中所起的作用。为了方便初学者识图,现将各分体元器件在电子电路中不同的接法及与不同元器件连接所起的作用归纳如下。电阻器:在电路中主要起限流、分压的作用。 1)电阻器与电阻器在电路中并联一般是为了增大电阻器的功率。 2)电阻器与电阻器串联并从中间引出抽头,在一般情况下是为了得到电阻器上的分压。 3)电阻与稳压管串联,电阻器为稳压二极管的限流电阻器。4)电阻器与电容器串联组成微分电路,在这里电阻器为电容器的充电限流电阻器,充电常数由RC的乘积觉定。在这里如果微分电路与二极管或单向晶闸管等半导体器件并联,且电路中有电感性负载,则微分电路在电路中起阻容吸收的作用,即吸收电感器由于在开机、关机一瞬间产生的较高感应电动势,保护半导体器件不因太高的感应电动势而击穿损坏。 5)电阻器与电容器并联,在一般情况下电阻器为电容器的

相关主题
文本预览
相关文档 最新文档