当前位置:文档之家› 8数据选择器和数据分配器

8数据选择器和数据分配器

8数据选择器和数据分配器
8数据选择器和数据分配器

数字电路-08

数据选择器和数据分配器应用实验

一. 实验目的

1. 了解变量译码器和数据选择器的逻辑功能和具体应用。

2. 熟悉中规模组合逻辑器件功能的测试和设计方法。

二. 实验原理

(1)变量译码器

变量译码器有n 个输入,2n

个输出,每个输出唯一地对应一组输入构成的二进制 码,当且仅当输入组合为该码时,输出呈有效电平。中规模TTL 集成译码器有74LS139(双2输入、4输出)、74LS138(3输入、8输出)和74LS154(4输入、16输出),输出均为低电平有效,并具有低电平有效的使能控制端S —-

。变量译码器除在数字系统中起二进制译码作用外,还可实现组合逻辑函数、数据分配等功能。

74LS139的引脚图如图8-1(a )所示,片上有两个独立的2线-4线译码器,各 输出逻辑表达式为:

Y ——

0 =01A A S ??、Y ——

1 = 01A A S ??、Y ——

2 =01A A S ??、Y ——

3 = 0

1A A S ??

显然,当使能S —-

为有效电平“0”时,如果译码器A 1,A 0输入的是逻辑函数的输入

变量A ,B ,则Y ——

i 代表了A ,B 构成的最小项m i 的反函数(最大项)。所以,2线-4线通用译码器可附加与非门(与门)实现用标准与-或(标准或-与)表达式表示的二变量组合逻辑函数。同理,n 线-2n 线通用译码器可实现n 变量的组合逻辑函数。

如果把译码器的使能端S 作为数据输入端,则可实现数据分配功能。被分配的串行数字信号D i 从S 输入,当A 1,A 0为不同的二进制码时,D i 信号被分配到译码器对应的输

出端Y ——

i 。比如A1A0为“11”时, D i 信号被分配到Y ——

3,此时Y ——

0~Y ——

2输出均为高电平。

(a ) (b ) (c )

图8-1 器件引脚排列

(2)数据选择器

数据选择器有n 位控制信号,2n 个数据输入。每组控制码能够选择唯一的一个数据输出,类似由控制码切换的多选一开关。中规模TTL 集成数据选择器有74LS153(双4选1)和74LS151(8选1),都具有低电平有效的使能控制端S 。数据选择器的主要功能是实现多路信号的选择,当控制端输入函数的逻辑变量、数据端输入函数值时,可以实现组合逻辑函数。

74LS153的引脚功能如图8-1(b )所示,片上有两个4选1数据选择器,控制端A 1,A 0共用。输出逻辑表达式为:

Y=S —-

(A —1 A —0 D 0+A —1A 0 D 1+ A 1 A —

0 D 2+ A 1 A 0 D 3)

图8-2为一个报警控制电路,其中数据选择器选择两个不同频率的信号控制音频蜂鸣器。音频蜂鸣器的有效信号频率在20Hz~2kHz 音频范围内,频率不同音调不同。声音的强弱与音频蜂鸣器的驱动电流成正比。由于TTL 电路的驱动能力有限,为提高音量,采用NPN 三极管9013进行电流放大。三极管工作在开关状态,当数据选择器输出E 为“0”时,三极管截止,蜂鸣器没有电流通过;当E 为“1”时,三极管饱和,Uce 约为0.2V ,蜂鸣器得电。当E 的信号切换频率在音频范围内时,蜂鸣器鸣响。9013的引脚如图8-1(c )所示。

三. 实验参考电路

1. 声光报警电路如图8-2所示。

2. 函数发生器如图8-3所示。

图8-2 声光报警 图8-3 用数据选择器实现的函数发生器

四. 实验预习要求

1. 复习译码器、数据选择器及数据分配器的工作原理。

2. 分析图8-2电路的报警控制信号W 的有效电平是高还是低?报警时蜂鸣器的控制信 号E 是什么波形?蜂鸣器的鸣叫声音可能是怎样的?

3. 根据图8-3列出电路输出CY 和SUM 的布尔表达式及真值表。如果输入A ,B ,C 是三 个一位的二进制数,分析电路的逻辑功能。

4. 设计一个数据选择、分配电路,设计要求:

①四个一位的输入数据D 0,D 1,D 2,D 3,用两个逻辑开关编码选择; ②四个一位的输出数据Y 0,Y 1,Y 2,Y 3,另用两个逻辑开关编码选择;

③功能:可以将四个输入数据中的任何一路信号D

i

选择并分配给四个输出中的任

何一个Y

i

选择集成器件设计电路,画出电原理图,标明各集成器件的引脚编号。

5.用74LS153设计一个一位二进制数的全减器。输入三个一位的二进制数A,B,C,输出逻辑变量D和V。其中D是A减B减C的差,V表示了A的值是否够被B,C减,够减时V=“0”,否则为“1”。列出真值表,画出电原理图。

6.用74LS139和两个四输入与非门(或四输入与门)实现全减器,画出电原理图。

7.用4选1数据选择器74LS153和两位二进制计数器设计一个信号传输方式转换电路,

把四位并行码D

0~D

3

转换成一列串行信号。要求转换位序可以根据需要选择先高位后低

位或者相反。画出设计的电路原理图。

五.实验内容及步骤

(1)译码器功能测试。

根据图8-1 中74LS139的引脚图,任选其中一个2线-4线译码器测试其功能。使

能端S—-由1Hz脉冲信号控制,输入A1,A0由逻辑开关控制,四个输出Y

0~Y

3

接逻辑指示

灯(发光二极管)。改变输入A

1,A

的状态,观察Y

~Y

3

的输出记录在表8-1中(记录输

出状态是高电平、低电平或1Hz信号)。测试完成后保留电路。

表8-1 2线-4线通用译码器功能测试

(2)4选1数据选择器功能测试

根据图8-1中74LS153的引脚图,任选其中一个数据选择器测试其功能。

使能端S—-接有效电平(GND),四个数据端D

0~D

3

分别输入1Hz,3Hz,10Hz和高电平

(Vcc)信号。其中1Hz,10Hz信号可取自逻辑实验箱上的脉冲信号区,3Hz信号由函数

发生器TTL端输出。用发光二极管观察数据选择器的输出端Y,改变输入A

1,A

的状态,

在表

表8-2 4选1数据选择器功能测试表

(3)根据预习内容4设计的电路,在实验步骤(1),(2)的基础上连接数据选择、分配电路。观察信号的选择分配情况。

(4)根据图8-2连接声光报警电路。1Hz和1kHz脉冲信号都由逻辑实验箱提供,2kHz 脉冲信号由函数发生器的TTL端输出。报警信号W由逻辑开关控制,警灯L为逻辑指示灯。改变W的状态,观察实验结果。当W有效时,警笛鸣响,警灯L闪烁。微调函数发生器频率,观察频率变化对蜂鸣器音调的影响。

(5)数据选择器构成的函数发生器

根据图8-3连接电路。输入A,B,C接逻辑开关,输出用逻辑指示发光二极管检查。改变输入状态记录函数真值表,与预习时分析的结果比较。

(6)根据预习(5)设计的电路连线,观察实验结果是否满足设计要求。

(7)根据预习(6)设计的电路连线,观察实验结果是否满足设计要求。

(8)根据预习(7)设计的电路连线,观察实验结果是否满足设计要求。

六.实验设备和器材

名称数量型号

1.双踪示波器1台学校自备

2.函数信号发生器1台学校自备

3.直流电源1台5V

4.适配器1只SD128B

5.14芯IC插座1只SD143

6.16芯IC插座2只SD144

7.4位输入器1只SD101

8.4位输出器1只SD102B

9.电阻模块1只SD150

10.三极管模块1只SD152

11.石英振荡器1只SD126B

12.集成芯片若干74LS20 74LS139

74LS153

13.连接导线若干P2

14.实验用6孔插件方板297mm×300mm

七.实验思考题

1.声光报警电路中,是否能用报警信号控制数据选择器的输入端A1?为什么?

2.如果实验内容(3)只用一组两位的二进制码同时控制数据的选择和分配,结果会如何?

八.实验报告要求

1.预习内容要求。

2.实验内容要求。

3.回答思考题。

实验三 数据选择器及其应用

实验三数据选择器及其应用 一、实验目的 1.掌握数据选择器的逻辑功能和使用方法。 2.学习用数据选择器构成组合逻辑电路的方法。 二、实验原理 数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 图9-1 4选1数据选择器示意图 图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。 1.八选一数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择I0~I78个数据源,具有两个互补输入端,同相输出端Z和反相输出端Z。其引脚图和功能表分别如下: 2.双四选一数据选择器74LS153

所谓双四选一数据选择器就是在一块集成芯片上有两个完全独立的4选1数据选择器,每个数据选择器有4个数据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一 个输出端Z,它们的功能表如表9-2,引脚逻辑图如图9-3所示。 图9-3 74LS153引脚逻辑图表9-2 74LS153的真值表 其中,EA、EB(1、15脚)分别为A路和B路的选通信号,I0、I1、I2、I3为四个 数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。S0(14脚)、S1(2脚)为地址信号,8脚为GND,16脚为VCC。 3.用74LS151组成16选1数据选择器 用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。 图9-4用74LS151组成16选1数据选择器

数据选择器与数据分配器.

3.3 数据选择器与数据分配器 本次重点内容: 1、数据选择器的电路原理与功能。 2、用数据选择器实现函数。 3、数字分配器的电路和功能 教学过程 3.3.1 数据选择器 在多路数据传输过程中,经常需要将其中一路信号挑选出来进行传输,这就需要用到数据选择器。 在数据选择器中,通常用地址输入信号来完成挑选数据的任务。如一个4选1的数据选择器,应有两个地址输入端,它共有22=4种不同的组合,每一种组合可选择对应的一路输入数据输出。同理,对一个8选1的数据选择器,应有3个地址输入端。其余类推。 而多路数据分配器的功能正好和数据选择器的相反,它是根据地址码的不同,将一路数据分配到相应的一个输出端上输出。 根据地址码的要求,从多路输入信号中选择其中一路输出的电路,称为数据选择器。其功能相当于一个受控波段开关。多路输入信号:N个。输出:1个。地址码:n 位。应满足2n≥N。 (一、4选1数据选择器 1、逻辑电路:D3、D

2、D1、D0为数据输入端,A1、A0为地址信号输入端,Y为数据输出端,ST为使能端,又称选通端,输入低电平有效。 2、真值表:4选取1数据选择器的真值表。 3.由真值表可写出输出逻辑函数式 (二8选1数据选择器 MSI器件TTL 8:选1数据选择器CT74LS151 1.逻辑功能示意图:D 7、D

6 、D 5 、D 4 、D 3 、D 2 、D 1 、D 为数据输入端,A 2 、A 1 、A 为地址信 号输入端。Y和为互补输出端,ST为使能端,又称选通端,输入低电平有效。

2.数据选择器CT74LS151的真值表 3.输出逻辑函数: ?ST=1 , ??Y=0 , ??????????. ?ST=0 , ???????,??Y= (A 2A 1A 0D 0 +A 2A 1A 0D 1 +A 2A 1A 0D 2 +A 2A 1A 0D 3 +A 2A 1A 0D 4 +A 2A 1A 0D 5 +A 2A 1A 0D 6 + A 2A 1A 0D 7 ST Y= A 2A 1A 0D 0 +A 2A 1A 0D 1 +A 2A 1A 0D 2 +A 2A 1A 0D 3 +A 2A 1A 0D 4 +A 2A 1A 0D 5 +A 2A 1A 0D 6 + A 2A 1A 0D 7 (三用数据选择器实现组合逻辑函数 实现原理:数据选择器是一个逻辑函数的最小项输出器:

译码器和数据选择器

实验四译码器及其应用 一、实验目的 1.掌握中规模集成译码器的逻辑功能和使用方法 2.熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器可分为通用译码器和显示译码器两类。前者又分为变量译码器和代码变换译码器。 1.变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,图4-1(a)、(b)分别为其 逻辑图及引脚排列。其中A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S 为使能端。 (a) (b) 图4-1 3-8线译码器74LS138逻辑图及引脚排列 表4-1为74LS138功能表 当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其 它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。 表4-1

二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图4-2所示。若在S 1输入端输入数据信息,2S =3S =0,地址码所对应的输出是S 1数据信息的反码;若从2S 端输入数据信息,令S1=1、3S =0,地址码所对应的输出就是2S 端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图4-3所示,实现的逻辑函数是 Z =C B A C B A C B A +++ABC 图4-2 作数据分配器 图4-3 实现逻辑函数

数据选择器及其应用

数据选择器及其应用

物联网工程 郭港国 26 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择 器的功能类似一个多掷开关,有四路数据D 0~D 3 ,通过选择控制信号 A 1 、A (地 址码)从四路数据中选中某一路数据送至输出端Q。 1、双四选一数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4-1,功能如表4-1。 表4-1

图4-1 74LS153引脚功能 S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~ 2D 3分别为两个4选1数据选择器的数据输入端;Q 1 、Q 2 为两个输出端。 1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。 2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A 1、A 的状态, 将相应的数据D 0~D 3 送到输出端Q。 如:A 1A =00 则选择D O 数据到输出端,即Q=D 。 A 1A =01 则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。 2、数据选择器的应用—实现逻辑函数 例:用4选1数据选择器74LS153实现函数:ABC C AB C B A BC A F+ + + = 函数F的功能如表(4-2)所示 表4-2 表4-3

译码器和数据选择器实验报告

译码器和数据选择器 12级电子信息工程20121060192 朱加熊 实验目的 1、熟悉集成译码器和数据选择器。 2、掌握集成译码器和数据选择器的应用。 3、学习组合逻辑电路的设计。 实验仪器及材料 1、双踪示波器 2、器件: 74LS00 二输入端四“与非”门1片 74LS20 四输入端双“与非”门1片 74LS139 双2-4先译码器1片 74LS153 双4选1数据选择器1片 实验内容 1、译码器逻辑功能测试 将74LS139译码器按图3.1接线,按表3.1分别置位输入电平,填输出状态表。

仿真结果Y0 Y1

Y2 Y3

2、译码器转换 将双2-4线译码器转换为3-8译码器。 (1)、画出转换电路图。 (2)、在试验箱上接线并验证设计是否正确。 (3)、设计并填写该3-8线译码器逻辑功能表,画出输入、输出波形。 电路图

逻辑功能表 注:表中Y=Yi 表示Yi=0,其余输 出值为1 3、数据选择器的测试及应 用 (1)、将双4选1数据选择器74LS153参照图3.2接线,测试其逻辑功能并填写功能表3.2. A B C Y 0 0 0 Y0 0 1 Y1 0 1 0 Y 2 0 1 1 Y 3 1 0 0 Y 4 1 0 1 Y 5 1 1 0 Y 6 1 1 1 Y7

(2)、将试验箱上4个不同频率的脉冲信号接到数据选择器4个输入端,将选择端置位,使输入端分别观察到4种不同频率的脉冲信号。 (3)、分析上述实验结果并总结数据选择器的作用。 逻辑功能表 输出控制选择端数据输入端输出 E A1 A2 D3 D2 D1 D0Y H X X X X X X L L L L X X X L L L L L X X X H H L L H X X L X L L L H X X H X H L H L X L X X L L H L X H X X H

数据选择器与数据分配器

数据选择器与数据分配器 本次重点内容: 1、数据选择器的电路原理与功能。 2、用数据选择器实现函数。 3、数字分配器的电路和功能 教学过程 3.3.1 数据选择器 在多路数据传输过程中,经常需要将其中一路信号挑选出来进行传输,这就需要用到数据选择器。 在数据选择器中,通常用地址输入信号来完成挑选数据的任务。如一个4选1的数据选择器,应有两个地址输入端,它共有22=4种不同的组合,每一种组合可选择对应的一路输入数据输出。同理,对一个8选1的数据选择器,应有3个地址输入端。其余类推。 而多路数据分配器的功能正好和数据选择器的相反,它是根据地址码的不同,将一路数据分配到相应的一个输出端上输出。 根据地址码的要求,从多路输入信号中选择其中一路输出的电路,称为数据选择器。 其功能相当于一个受控波段开关。多路输入信号:N个。输出:1个。地址码:n 位。应满足2n≥N。 (一)、4选1数据选择器 1、逻辑电路:D3、D 2、D1、D0为数据输入端,A1、A0为地址信号输入端,Y为数据输出端,ST为使能端,又称选通端,输入低电平有效。 2、真值表:4选取1数据选择器的真值表。

3.由真值表可写出输出逻辑函数式 (二)8选1数据选择器 MSI 器件TTL 8:选1数据选择器CT74LS151 1.逻辑功能示意图:D 7、D 6、D 5、D 4、D 3、D 2、D 1、D 0为数据输入端,A 2、A 1、A 0为地址信号输入端。Y 和 为互补输出端,ST 为使能端,又称选通端,输入低电平有效。 2.数据选择器CT74LS151的真值表

3.输出逻辑函数: Y= (A2A1A0D0 +A2A1A0D1 +A2A1A0D2 +A2A1A0D3 +A2A1A0D4 +A2A1A0D5 +A2A1A0D6 + A2A1A0D7 )ST ?ST=1 , ??Y=0 , ??????????. ?ST=0 , ???????,?? Y= A2A1A0D0 +A2A1A0D1 +A2A1A0D2 +A2A1A0D3 +A2A1A0D4 +A2A1A0D5 +A2A1A0D6 + A2A1A0D7 (三)用数据选择器实现组合逻辑函数 实现原理:数据选择器是一个逻辑函数的最小项输出器:

实验二 数据选择器及其应用

实验二数据选择器及其应用 一、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-1 4选1数据选择器示意图图4-2 74LS151引脚排列 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

二、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法; 2、学习用数据选择器构成组合逻辑电路的方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、74LS151(或CC4512) 74LS153(或CC4539) 四、实验内容 1、测试数据选择器74LS151的逻辑功能。 接图4-7接线,地址端A2、A1、A0、数据端D0~D7、使能端S接逻辑开关,输出端Q接逻辑电平显示器,按74LS151功能表逐项进行测试,记录测试结果。 图4-7 74LS151逻辑功能测试

2、测试74LS153的逻辑功能。 测试方法及步骤同上,记录之。 逻辑功能见下表: 3、用8选1数据选择器74LS151设计三输入多数表决电路。 1)写出设计过程 有三个人进行表决,当其中任意两个人赞同时,输出为真,否则输出为假。真值表如下:

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

3线8线数据分配器

3线-8线数据分配器 08电信2班成员:罗俊麦文清徐宇詹天文张广平 一.电路名称 3线-8线数据分配器 二.电路功能及I/O口介绍 数据分配器的功能是将一路输入数据从多个输出通道中选择一个通道输出。 输入信号是一路数据D和三个地址输入端A2、A1、A0;输出信号是八路数据Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7。数据可以是一位二进制数,也可以是多位二进制数。 四.程序代码 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DEMUX IS PORT( D :IN STD_LOGIC_VECTOR(7 DOWNTO 0); A : IN STD_LOGIC_VECTOR(2 DOWNTO 0); Y0,Y1,Y2,Y3,Y4,Y5,Y6,Y7 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); end DEMUX; ARCHITECTURE STR OF DEMUX IS BEGIN PROCESS(D,A) BEGIN

Y0 <= "00000000"; Y1 <= "00000000"; Y2 <= "00000000";Y3 <= "00000000";Y4 <= "00000000"; Y5 <= "00000000";Y6 <= "00000000";Y7 <= "00000000"; CASE A IS WHEN "000" => Y0 <= D; WHEN "001" => Y1 <= D; WHEN "010" => Y2 <= D; WHEN "011" => Y3 <= D; WHEN "100" => Y4 <= D; WHEN "101" => Y5 <= D; WHEN "110" => Y6 <= D; WHEN "111" => Y7 <= D; END CASE; END PROCESS; END STR; 五.仿真结果

数据选择器及其应用解读

实验五数据选择器及其应用 [实验目的] 1、掌握中规模集成数据选择器的逻辑功能及使用方法。 2、学习用数据选择器构成组合逻辑电路的方法。 [实验原理] 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-5-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 图4-5-1 4选1数据选择器示意图图4-5-2 74LS151引脚排列 表4-5-1 1、8选1数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-5-2,功能如表4-5-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择1个需要的数据送到输出端Q,S为使能端,低电平有效。 (1)使能端S——=1时,不论A2~A0状态如何,均无输出(Q=0,Q——=1),多路开关被禁止。 (2)使能端S——=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中

某一个通道的数据输送到输出端Q 。 如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q=0。 如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q=D 1,其余类推。 2、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。74LS153的引脚排列如图4-5-3,功能如表4-5-2。 表4-5-2 图4-5-3 74LS153引脚功能 1S —— 、2S —— 为两个独立的使能端,A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3 分别为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。 (1)当使能端1S —— (2S —— )=1时,多路开关被禁止,无输出,Q=0. (2)当使能端1S —— (2S —— )=0时,多路开关正常工作,根据地址码A 1、A 0的状态,将相应的数据D 0~D 3送到输出端Q 。 如:A 1A 0=00,则选择D 0数据到输出端,即Q=D 0。 A 1A 0=01,则选择D 1数据到输出端,即Q=D 1,其余类推。 数据选择器的用途很多,例如多通道传输、数码比较、并行码变串行码以及实现逻辑函数等。 3、数据选择器的应用-实现逻辑函数 例1:用8选1数据选择器74LS151实现函数F=AB — +A — B (1)列出函数F 的功能表如表4-5-4所示。 (2)将A 、B 加到地址端A 1、A 0,而A 2接地,由表4-5-3可见,将D 1、D 2接“1”及D 0、D 3接地,其余数据输入端D 4~D 7都接地,则8选1数据选择器的输出Q ,便实现了函数 F=AB — +A — B 接线图如图4-5-4所示。 表4-5-3 图4-5-4 8选1数据选择器实现F=AB — +A — B 的接线图 显然,当函数输入变量数小于数据选择器的地址端(A)时,应将不用的地址端及不用的数据输入端(D)都接地。 例2:用双4选1数据选择器74LS153实现函数F= A — BC + AB — C +ABC — +ABC 函数F 的功能如表4-5-4所示。

实验三译码器及其应用、数据选择器及其应用

实验三 译码器及其应用、数据选择器及其应用 一、实验目的 1.掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2.用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端B S 、C S 和A S ,当A S =1、 B S = C S =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平.利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1.译码器 一个n 变量的译码器的输出包含了n 变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变量的全部最小项的译码.参见模拟电子技术基础教材中3线/8线译码器功能表. 用n 变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n 的组合逻辑电路. 2.数据选择器 一个n 个地址端的数据选择器,具有2n 个数据选择的功能.例如,数据选择器74LS151,n=3,可完成八选一的功能.参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 21002101210221032104210521062107Y A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D =+++++++ 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1.三输入变量译码器功能测试 地址输入端A 2A 1A 0是一组三位二进制代码,其中A 2权最高,A 0权最低,按实验电路图3-1接线,将实验结果填入功能表3-1中.

实验四数据选择器及其应用

实验四数据选择器及其应用 以下是为大家整理的实验四数据选择器及其应用的相关范文,本文关键词为实验,数据,选择器,及其,应用,实验,数据,选择器,及其,应,您可以从右上方搜索框检索更多相关文章,如果您觉得有用,请继续关注我们并推荐给您的好友,您可以在教育文库中查看更多范文。 实验四数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法

二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-14选1数据选择器示意图图4-274Ls151引脚排列 表4-1输入s输出A0×01010101Q0D0D1D2D3D4D5D6D7QA2×00001111A1×00110011100 0000001D0D1D2D3D4D5D6D7数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、 16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74Ls151 74Ls151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,s为使能端,低电平有效。 1)使能端s=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

数电 实验四 数据选择器及其应用 实验报告

实验四数据选择器及其应用 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及使用方法 2.学习用数据选择器构成组合逻辑电路的方法 二、实验设备与器件 1.+5V直流电源 2.逻辑电平开关 3.逻辑电平显示器 4.74LS151 三、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图7-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输们开关和门电路混合而成的。 八选一数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制姨妈,从8个输入数据D0~D7中,选择一个需要的数据送到输出端A, S为使能端,低电平有效。

1)使能端?S=1时,无论A2~A0状态如何,均无输出(Q=0,?Q=1),多路开关被禁止。2)使能端S=0时,多路开关正常工作。根据地址码A1、A2、A3的状态选择D0~D7中某一个通道的数据输送到输出端Q。 此处以A2A1A0=010为例,则选择D2数据到输出端,即Q=D2。 D2为0,?Q亮。D2为1,Q亮。 使能端为1,D2为1,?Q亮。使能端为1,D2变为0,?Q仍然亮。

74LS151功能测试结果表4-1 实现逻辑函数F(AB)=A?B+?AB+A B 设计过程:逻辑表

译码器和数据选择器

实验报告 专业物联网工程年级 2012级姓名 **** 学号 ********** 日期 4.18 实验地点工学院实验室指导教师 ***** 实验三译码器和数据选择器 一、实验目的(宋体、4号字) 1、熟悉集成译码器。 2、学习集成译码器和数据选择器的应用 二、实验仪器(宋体、4号字) 1、双踪示波器 2、实验用元器件 ①74LS139 2 —4 线译码器1片 ②74LS153 双4选1 数据选择器1片 ③74LS00 二输入端四与非门1片 三、实验内容及结果分析(宋体、4号字) 1、译码器功能测试 ⑴将 74LS139 译码器电路按图 2.1 接线,参照表 2.1 输入电平,测试输出状态并填入表中⑵表2.1 使能选择输出 G VG(V) B VB(V) A VA(V) Y 0 VO(V) Y 1 V1(V) Y 2 V2(V) Y 3 V3(V) 1 5.067 X X 1 4.176 1 4.179 1 4.174 1 4.179 0 0 0 0 0 0.005 0 0.311 1 4.178 1 4.175 1 4.180 0 0 0 0 1 5.067 1 4.177 1 4.179 0 0.22 2 1 4.180 0 0.001 1 5.001 0 0.001 1 4.177 0 0.21 3 1 4.175 1 4.179 0 0 1 5.067 1 5.067 1 4.177 1 4.175 1 4.175 0 0.237

⑶实验结果如表格2.1所示,所测结果满足译码器的真值表。再看电压,高电平电压满足工作电压大于4v,低电平满足工作电压小于0.4v。全部符合。 2、译码器转换 将双2-4线译码器转换为3-8线译码器。 ⑴画出转换电路图; ⑵在实验箱上接线并验证设计是否正确; 检查连线正确。 ⑶填写该3-8 线译码器功能表 2.2。

数字电子逻辑 译码器和数据选择器 实验报告

福建农林大学计算机与信息学院信息工程类实验报告 系:计算机系专业:计算机科学与技术年级: 07级 姓名:学号:实验课程:数字电子技术基础 实验室号:__ 实验设备号: 9 实验时间: 2008-12-9 指导教师签字:成绩: 实验二译码器和数据选择器 一、实验目的和要求 1、掌握3 -8线译码器逻辑功能和使用方法。 2、掌握数据选择器的逻辑功能和使用方法。 二、实验原理 译码的功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。译码器在数字系统中有广泛的应用,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。下图表示二进制译码器的一般原理图: 它具有n个输入端,2n个输出端和一个使能输入端。在使能输入端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为非有效电平。每一个输出所代表的函数对应于n个输入变量的最小项。二进制译码器实际上也是负脉冲输出的脉冲分配器,若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称为多路数据分配器)。 1、3-8线译码器74LS138 它有三个地址输入端A、B、C,它们共有8种状态的组合,即可译出8个输出信号Y0~Y7。另外它还有三个使能输入端E1、E2、E3。它的功能表见表2-1,引脚排列见图2-2。

表2-1 74LS138的功能表 注:‘H’表示逻辑高电平;‘L’表示逻辑低电平;‘×’表示逻辑高电平或低电平。 2、数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 3、数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可

数电实验三 数据选择器和译码器应用

上海电力学院数字电路与数字逻辑 院(系):计算机科学与技术学院 实验题目:数据选择器和译码器应用 专业年级: 学生姓名: 学号:

一、实验目的和要求: 1、了解并掌握集成组合电路的使用方法。 2、了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。 3、使用数据选择器和译码器实现特定电路。 二、实验内容: 1.要求用数据选择器74153和基本门设计用3个开关控制1一个电灯的电路,改变任何一个开关的状态都能控制电灯由亮变暗或由暗变亮。(提示:用变量A、B、C表示三个开关,0、1表示通、断状态;用变量L表示灯,0、1表示灯灭、亮状态。)画出电路的原理图,将电路下载到开发板进行验证。 根据题意画出真值表如下 根据上表,可画出原理图

试验现象:当开关断开的数量是奇数时,灯是亮的,除此之外是灭的. 2. 人的血型有A,B,AB和O这4种,试用数据选择器74153和基本门设计一个逻辑电路,要求判断供血者和受血者关系是否符合下图的关系(提示:可用两个变量的4种组合表示供血者的血型,用另外两个变量的4种组合表示受血者的血型,用Y表示判断的结果)。画出电路的原理图,通过仿真进行验证。 真值表:

根据上表,可画出原理图 验证逻辑功能表,仿真结果如下

3.试用集成译码器74LS138和基本门实现1位全加器,画出电路连线图,并通过仿真验证其功能。 根据题意画出真值表如下 根据上表,可画出原理图

.验证逻辑功能表,仿真结果如下 4.试用数据选择器74151实现1位全加器电路,画出电路连线图,并通过仿真验证其功能。 原理图 .验证逻辑功能表,仿真结果如下图 三、实验小结: 通过本次试验,我更加了解集成组合电路的使用方法,了解并掌握了仿真包括功能仿真及时序仿真的方法及验证设计正确性。我还学会使用数据选

实验三 译码器、数据选择器及其应用

实验三译码器、数据选择器及其应用一、实验目的 1.熟练掌握集成译码器、数据选择器的工作原理、逻辑功能。2.熟练掌握集成译码器、数据选择器实现某些逻辑函数。 二、实验器件 1、3线-8线译码器74LS138×1 2、8选1数据选择器74LS151×1 3、4输入二与非门74LS20×1 4、六反相器74LS04×1 三、实验内容 1、74LS138的功能测试 (1)、74LS138引脚图: (2)、74LS138功能表:

注:2G =G 2A +G 2B 2、74LS138用作逻辑函数发生器 (1)、用74LS138和门电路实现逻辑函数 F=AB+AC+BC 实验步骤: 将逻辑函数转化为最小项逻辑表达式 画卡诺图: 由卡诺图得到:F=A BC+A B C+AB C +ABC=Σm (3,5,6,7) =7.6.5.3m m m m =7.6.5.3Y Y Y Y 用一片74LS138和一片74LS20搭建电路:

(2)、用74LS138和门电路实现逻辑函数F=A BC+A B C+AB C(判偶电路) (3)、用74LS138和门电路设计一个全加器 3、74LS151功能测试 (1)、74LS151引脚图: (2)、74LS151功能表:

4、74LS151和门电路实现逻辑函数 (1)、用74LS151和门电路实现逻辑函数F=AB+AC+BC 实验步骤: 将逻辑函数转化为最小项逻辑表达式 画卡诺图: 由卡诺图得到:F=A BC+A B C+AB C+ABC=Σm(3,5,6,7) =m0.0+m1.0+m2.0+m3.1+m4.0+m5.1+m6.1+m7.1 74LS151输出Y=m0.D0+m1.D1+m2.D2+m3.D3+m4.D4+m5.D5+m6.D6+m7.D7若令F=Y,A=C,B=B,C=A 则D0= D1= D2= D4=0 D3= D5= D6= D7=1 根据以上分析,画出电路图: (2)、用数据选择器74LS151实现函数F=Σm (0,2,7,8,13)。 F=A B C D+A(B C D)+A(BCD)+A B C D+A(B C D) =(A+A)B C D+ A(B C D)+A(BCD)+A(B C D) =1.m0+A.m2+A. m5+A m7

数电实验报告 数据选择器及其应用

实验2实验报告数据选择器及其应用 一、实验目的 1、了解组合逻辑电路的设计步骤、分析方法和测试方法; 2、掌握数据选择器的工作原理与逻辑功能; 3、掌握双四选一数据选择器74LS153的应用。 二、实验设备 1、数字电路实验箱 2 、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS153 三、实验内容 1、测试双四选一数据选择器74LS153的逻辑功能; 2、设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员均 同意发射导弹攻击目标且有操作员操作,则发射导弹F; 3、用74LS00与74LS153设计一位全加器。 四、实验结果 1、测试双四选一数据选择器74LS153的逻辑功能。 如图S5和S6分别接A和B,负责输入地址;S1、S2、S3、S4为上面选择器的四个输入;S7、S8、S9、S10为下面选择器的四个输入。 举例说明:如图所示,当S5和S6都输入高电平时,选择输出1C3和2C3的内容,即

S4和S10的输入均为高电平,小灯亮。 2、设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员 均同意发射导弹攻击目标且有操作员操作,则发射导弹F。 由题意可得出逻辑表达式如下: F=AB(C+D) 分析:由于只有A、B都为高电平时F才有可能输出高电平,所以让A和B作为地址输入端。而当A、B均为高电平时,C和D任意一个为高电平则F为高电平。所以用74LS00实现C和D的“或”以后,接数据选择器的C3接口。则可以实现所需功能。 电路图如下: 3、用74LS00和74LS153设计一位全加器。 可以通过降维将输入位A和B作为地址选择位,进位位CI和CI以及0和1作为被选择数据输入,表示S和CO。真值表如下:

练习3-数据分配器

1.3 1对2数据分配器的设计 数据分配器是一种处理数据的逻辑电路,用来将一个输入信号输出(分配)到指定的输出端。数据分配器可分为1对2数据分配器、1对4数据分配器等,下面以l对2数据分配器为例来介绍数据分配器的设计。 1.实验原理 1对2数据分配器有1个控制端,2个输出端和1个数据输入端,根据控制端的值 表1-4 1对2数据分配器真值表 1对2数据分配器应具备的脚位: 控制端:S; 输出端:Y0,Y1: 数据输入端:D。 2.原理图输入 (1)建立新文件:选取窗口菜单File—New,出现对话框,选Graphic Editor file选项,单击OK按钮,进入图形编辑画面。 (2)保存:选取窗口菜单File—Save,出现对话框,键入文件名demuti_2v.gdf'单击OK按钮。 (3)指定项目名称,要求与文件名相同:选取窗口菜单File—Project—Name,键入文件名demuti_2v,单击OK按钮。或也可以通过如下操作把当前设计项目设置成工程文件:选择菜单File|Project|Set Project to Current File命令,即将当前设计文件设置成Project。选择此项后可以看到标题栏显示出所设文件的路径。 (4)确定对象的输入位置:在图形窗口内单击鼠标左键。 (5)引入逻辑门:选取窗口菜单Symbol—EnterSymbol,在kMaxplus2~nax21ib\pfim 处双击,在Symbol File菜单中选取所需的逻辑门,单击OK按钮。 (6)引入输入和输出脚:按步骤(5)选出输入脚和输出脚。 (7)更改输入和输出脚的脚位名称:在PIN_NAME处双击鼠标左键,进行更名,输入脚为S、D,输出脚为Y0、Y1。 (8)连接:将S、D脚连接到输入端,Y0、Y1脚连接到输出端,如图1—12所示。 (9)选择实际编程器件型号:选取窗口菜单Assign—Device,出现对话框,选择ACEX1K系列的EPlK30TCl44-3。 (10)保存并查错:选取窗口菜单File—Project—Save&Check,即可针对电路文件进行检查。 (11)修改错误:针对Massage-Compiler窗口所提供的信息修改电路文件.直到没有错为止。 (12)保存并编译:选取窗口菜单File—Project—Save&Compile,即可进行编译,产生demuti_2v.sof烧写文件。

数字电路实验报告-4选1数据选择器及其应用

电学实验报告模板 实验原理 数据选择器的功能类似一个单刀多掷开关,如图1所示。数据选择器在地址码的控制下,从多路数据输入中选择其中一个并将其送到一个公共的输出端。 图1 数据选择器示意图 1. 4选1数据选择器

图2 4选1数据选择器及其逻辑 图2所示为4选1数据选择器及其逻辑。该电路有4路输入数据和为地址输入。为使能控制端,当时,数据选择器正常工作;当时,数据选择器的输出被锁定在“0”,不能选择。 由图2(b)可以得到该数据选择器的逻辑函数式为 (1) 2. 用4选1数据选择器扩展成8选1数据选择器 8选1数据选择器有8路数据输入,3位地址输入。如果用4选1数据选择器实现8选1,需要2片4选1数据选择器,如图所示。其中,是通过4选1数据选择器的使能控制端接入的。由图5并根据式(1),可以得到 显然实现了8选1的逻辑功能。

图5 用4选1数据选择器扩展成8选1数据选择器实验仪器

实验内容及步骤 1. 测试和验证74HC153的逻辑功能 (1)集成电路芯片74HC153引脚图 74HC153是双4选1数据选择器,芯片内部包含两个独立的、完全相同的4选1数据选择器。图7-5所示为引脚图。每一个4选1数据选择器都设置了一个使能控制端。两个4选1数据选择器共享地址输入端。 图6 74HC151引脚图 (2)测试和验证74HC153的逻辑功能 按图7连接电路。实验数据记录在表7-1。验证74HC153的逻辑功能。

图7 测试74HC151的逻辑功能实验电路 表1 (3)用一片74HC153扩展成8选1数据选择器

图8 74HC153扩展成8选1数据选择器实验电路按图8连接电路。实验数据记录在表2。验证电路的逻辑功能。 表2

数据选择器和译码器实验报告

竭诚为您提供优质文档/双击可除数据选择器和译码器实验报告 篇一:实验二译码器与数据选择器的功能测试及应用(实验报告) 实验2译码器与数据选择器的功能测试及应用 一.实验目的与要求(5分) 1.掌握中规模集成译码器与数据选择器的逻辑功能和使用方法; 2.学习用集成译码器与数据选择器构成组合逻辑电路的方法。 三、实验原理与内容(20分) 1.译码器 (1)译码与译码器的概念译码是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意,实现译码功能的电路称为译码器。 (2)译码器分类 译码器分为通用译码器(包括二进制、二─十进制译码器)与显示译码器(包括TTL共阴显示译码器、TTL共阳显示译码器等)两大类。

(3)利用译码器实现组合逻辑函数二进制、二─十进制译码器的输出端的逻辑式是以输入变量最小项(取反)的 形式,故这种译码器也叫最小项译码器,利用最小项译码器可以实现简单的组合逻辑电路。 2.数据选择器 (1)数据选择器概念与功能 数据选择器可以实现从多路数据传输中选择任何一路 信号输出,选择的控制由地址码决定。数据选择器可以完成很多的逻辑功能,例如函数发生器、并串转换器、波形产生器等。(2)用数据选择器实现组合逻辑函数 选择器输出为标准与或式,含地址变量的全部最小项。例如四选一数据选择器输出如下: Y=A1A0D3+A1A0D2+A1A0D1+A1A0D0而任何组合逻辑函数都可以表示成为以上的表示形式,故可用数据选择器实现。 四.实验步骤与记录(30分) 1.译码器74Ls139功能测试 测试译码器74Ls139中任意一组2-4线译码器的功能,其中译码器的输入端s、A1、A0接拨码开关输出口,输出Y0~Y3接发光管。改变拨码开关开关的状态,观察输出,写出Y0~Y3的输出。实验电路图如下:(请同学们完善,要求用铅笔做图) 2.用译码器实现逻辑函数F=Abc+Abc。用拨码开关开关

相关主题
文本预览
相关文档 最新文档