当前位置:文档之家› 经典组合电路的设计及译码器、数据选择器的应用

经典组合电路的设计及译码器、数据选择器的应用

经典组合电路的设计及译码器、数据选择器的应用
经典组合电路的设计及译码器、数据选择器的应用

实验二组合电路的设计及译码器、数据选择器的应用

说明:本次实验实验室提供的TTL器件如下:

1)用门电路设计一个密码锁。(选作)

密码锁的密码可以由设计者自行设定,设该锁有规定的4位二进制代码A3A2A1A0的输入端和一个开锁钥匙信号B的输入端,当B=1(有钥匙插入)且符合设定的密码时,允许开锁信号输出Y1=1(开锁),报警信号输出Y2=0;当有钥匙插入但是密码不对时,Y1=0,Y2=1(报警);当无钥匙插入时,无论密码对否,Y1=Y2=0。

2)用门电路实现以下功能的组合电路。(必做)

工厂有三个车间,每个车间各需1KW电力,共有两台发电机供电,一台是1KW,另一台是2KW。三个车间经常不同时工作,有时只一个车间工作,也可能有两个车间或者三个车间工作,为了节省资源,又保证电力供应,请设计一个逻辑控制电路,能自动完成配电任务。

3)用门电路设计一个能对4路数据进行任意选择的数据选择器。(选做)

设4路数据分别为A1=1,A2=逻辑开关,A3=1Hz脉冲信号,A4=点动脉冲。要求写出设计全过程。

4)用译码器实现多输出函数(74LS138)。(必做)

用1片74LS138和1片74LS20设计A、B、C三变量的两组输出函数Z1和Z2.即当A、B、C中有奇数个1时,输出Z1=1,否则Z1=0;当A、B、C的值(十进数)为偶数(不含0)时,输出Z2=1,否则Z2=0。要求列出Z1、Z2的逻辑表达式,用74LS138和74LS20实现其功能。

5)用74LS138设计判决电路。(选做)

判决电路由一名主裁判和两名副裁判来决定比赛成绩,在主裁判同意并且两名副裁判中至少有一名同意的条件下,比赛成绩才被认可。

6)用74LS153构成2线-4线译码器。(选做)

7)用74LS153实现一位全加器。(必做)

用一块74LS153及门电路实现一位全加器,输入用3个开关分别代表A、B、CI,输出用2个指示灯分别代表CO、S1。要求写出设计过程,画出逻辑图,并按表,观察2个指示灯的变化,记录结果。

表1 一位全加器实验结果

8)利用八选一数据选择器(74LS151)实现一个输血者血型和受血者

血型符合输血规则的电路,输血规则如表1所示。(必做)

表2 输血规则表

输血者

血型编码A00 B01 AB10 O11

受血者

血型编码A00 B01 AB10 O11

从规则可知,A型血能输给A、AB型,B型血能输给B、AB型,AB型血只能输给AB型,O型血能输给所有四种血型。设输血者血型编码是X1X2,受血者血型编码是X3X4,符合输血血型规则时,电路输出F为1,否则为0。

附录D :常用数字集成电路管脚排列及逻辑符号

1A 1B 1Y 2A 2B 2Y 4A

4B

4Y

3A

3B

3Y

GND

V

图D-1 74LS00四2输入与非门 图D-2 74LS01四2输入与非门(OC )

8

9

10

11

1

2

12

13

3

14

4

5

6

7

4Y

GND

4A

5Y

6A

6Y

5A

V CC

1A 1Y 2A 2Y 3A 3Y 。

1。

1

1

1

1

1

图D-3 74LS02四2输入或非门 图D-4 74LS04六反相器

8

910

11

121213

314

4567GND

&

&

&

&

1A 1B 1Y 2A 2B 2Y 4A

4B

4Y

3A

3B

3Y

V CC

8

9

10

11

1212

13

314

4567

1C 1Y

3C

3B

3A

3Y

1A 1B 2A 2B 2C 2Y GND

Vcc 。&&&。。

图D-5 74LS08四2输入与门 图D-6 74LS10三3输入与非门

8

9

10

11

1111

2

2

3

3

4

4

5

6

7

Vcc 2D

2C

2B

2A

2Y

1A 1B 1C 1D 1Y GND

&。

&

N

N

1A 1B 2Y 2A 2B 3Y

3A

3B

4Y

4A

4B

GND

V CC

8

9

10

11

1111

2

2

3

3

4

4

5

6

7

1Y 1

1

1

1

≥≥≥≥

图D-7 74LS20双4输入与非门 图D-8 74LS32四2输入或门

8

9

10

11

1212

13

314

45

6

7

≥1

A C D E F N GND

N

N

B

H

G

Y

V CC

D R S D Q

2D R 1 1D 1CP 1 1Q 1 S D Q

GND D Q

CP 8

9

10

11

1111

2

2

3

3

4

4567

Q

O

O

D Q

CP Q O

O

D R D S D D R S Vcc 2 2D 2CP 2 2Q

图D-9 74LS54 4路2-2-2-2输入与或非门 图D-10 74LS74双上升沿D 型触发器

1A

1B

2Y

2A

2B

3Y

3A

3B

4Y

4A

4B

GND

V CC

8

9

10

11

1111

2

2

3

3

4

4

5

6

7

1Y

=1

=1

=1

=1

910

11

1111

2

2

3

3

4

45

6

7

8

115

6

Vcc D

2R D

22K

21J

22Q

1R CP

CP 1K 1J S D

11Q 1Q 2Q GND K J

CP

D D

Q

Q R S

S D

。。

。。。

K CP

J

D

R D

S

Q

Q

图D-11 74LS86四2输入异或门 图D-12 74LS112双下降沿J-K 触发器

8

9

10

11

1

2

12

13

3

14

4

5

6

7

GND

1E 1A 1Y 2E 2A 2Y 4E

4A

4Y

3E

3A

3Y

V CC

EN

1EN 1

EN 1

EN

1

9

10

11

111122

3

3

4

4

5

6

7

8

115

6

Y 0

Vcc

Y Y Y Y Y Y 1

2 3 4

5

6

S S Y

GND

3

2

1

S A A A 012 7Y 0 Y Y Y Y Y 1 2

3 45

S S Y

S A

A 12

71

3

2

A 0

Y 6。

图D-13 74LS126四总线缓冲器 图D-14 74LS138 3线-8线译码器

9

10

11

1212

13

314

4567815

16

4。I 0

GND

V CC

567I 0

I 1

I 2

I 3

Y 0

12Y S

Y EX

I 1

I 2

I 3

I 4

I 5

I 6

I 7

Y 0

Y 1

Y 2

Y S

Y EX

S(E)。。。。。。

。。。。。。。

9

10

11

111122

3

3

4

4

5

6

7

8

115

6D 4V C

C D D D A A A 56

7

1

2

GND

D D D 321D 4D D D A A 56701D W

D D 2

1

D 3A 2S (

E )

W

S (E )D 0W

W

图D-15 74LS148 8线-3线优先编码器 图D-16 74LS151 8选1数据选择器

9

10

11

1

2

12

13

314

45678

15

16

1D 3GND

V CC

1S 1D 21D 11D 0A 0

A 11W 2S

2D 3

2D 2

2D 1

2D 0

2W

.

.

A 0

2S

2D 32D 2

2D 1

2D 02W

1D 3

1D 2

1D 1

1D 0

A 11W A 0A 1

1S

9

10

11

12

13

123

14

45678

16

15

GND

CR LD CP

ENT

O

O

Q CC

Q 0Q 1Q 2

Q 3

D

0D 1

D 2D 3ENP

D 0D 1D 2D 3ENP V CC

Q CC

Q 0

Q 1

Q 2

Q 3

ENT

LD

CP CR

图D-17 74LS153双4选1数据选择器 图D-18 74LS161 4位二进制同步计数器

9

10

11

1212

13

314

45678

15

16

CR GND

V CC

D SR M 1

CP

Q 4

Q 3

M 0

D L D 4Q 1

Q 2

D 3D 2D 1CR

Q Q Q Q CP 1 2 3 4

S 1

S 0

D SR

D D D D D

L 。 1 2 3 4

8

9

10

11

111122

3

34

45

6

7

CT/LD Qc C A QA V CC

Q D

D

B

Q B

Cr QD Q B QB

Qc C A QA CPB

GND

C r

CP A

CP B CT /LD CP A

图D-19 74LS194 4位双向移位寄存器 图D-20 74LS196二-五-十进制计数器

9

10

11

111122

3

34

45678115

6

2 2 1 1 1

B A S A B CI S 2

C 4B A S A B S 3 3 3 4 4 4S 2GND

B 2A 2S 1CI B 1A 1V CC

S 4

B 4

A 4

S 3

C 4

B 3

A 3

8

9

10

11

111122

3

34

4567

N S 9(2)Qc Q A

R 0(1)

S 9(1)Q B CP A

CP B

Q A

S 9

(2)

Qc Q B

R 0(2)

N S 9(1)

R 0(1)R 0(2)Q D

GND

V CC

CP B

CP A

Q D

。。

图D-21 74LS283 4位二进制超前进位全加器 图D-22 74LS290二-五-十进制计数器

8

9

1011

121213

3

14

4

5

67&

&

&。

&V SS

1A 1B 1Y 2Y 2B 2A 4A

4B

4Y

3Y

3B

3A

V DD

8

9

10

11

121213

314

4567

&

&

&

&

V SS

1A 1B 1Y 2Y 2B 2A 4A

4B

4Y

3Y

3B

3A

V DD

图D-23 CD4011B 四2输入与非门 图D-24 CD4081四2输入与门

125

36

47

8

GND

V CC

TL Q

R D

CV

DIS

TH

13

14

15

1216

17

318

4567819

20

CS V ref V CC

WR 1AGND DI 3DI 6

DI 5

DI 4

XFER

DI 7

DI 0DI 1ILE

WR 2DI 2910

DGND

R fb

11

12

I out 2

I out 1

图D-25 555定时器 图D-26 DAC0832 8位数模转换器

22

23

24

25

26

27

28

123456715

16

17

18

19

20

21

891011121314

IN 3IN 4IN 5IN 6IN 7START EOC D 3OE CLOCK V CC Vr(+)D 1

GND D 2

Vr(-)

D 0

D 4

D 5

D 6

D 7

ALE

ADDB ADDC ADDA IN 0

IN 1

IN 2

图D-27 ADC0809 8位模数转换器

74LS153译码器组合逻辑电路设计案例分析

74LS153译码器组合逻辑电路设计案例分析 1、逻辑电路:D3、D 2、D1、D0为数据输入端,A1、A0为地址信号输入端,Y 为数据输出端,ST 为使能端,又称选通端,输入低电平有效。下图7.10为74LS153 管脚排列示意图。该芯片中存在两个4选1数据选择器。 2Y 9 2C0102C1112C2122C313A 14B 2~1G 11Y 7 1C061C151C241C33~2G 15 图7.10 74LS153 管脚排列 表7.5为74LS153的功能表。 当使能端G 有效时,输出等于地址信号A 、B 所选择的数据信号。可得输出函数表达式 为:3322110C m C m C m C m Y o +++=。 对于一个n 选1的数据选择器,其输出函数为:n n o C m C m Y ++=...0 二、用数据选择器实现组合逻辑函数 实现原理:数据选择器是一个逻辑函数的最小项输出:

∑-=== ++=1 20 0...n i i i n n o c m C m C m Y 而任何一个n 位变量的逻辑函数都可变换为最小项之和的标准式。对照函数表达式和相应的数据选择器输出函数表达式,可以实现用数据选择器来表示逻辑函数。 实现步骤: (1)根据函数变量选择合适的数据选择器,一般变量个数n 个,选择2n 选1的数据选择器。 (2)将被表示的函数转换成标准与或表达式。 (3)写出选择的数据选择器的输出函数。 (4)对比两函数,使数据选择器的地址端和函数变量一一对应(高位对高位),表达式中出现的最小项相应的输入数据C 为1,否则为0。 (5)画逻辑电路图。 例:用数据选择器和门电路实现AC AB Y += 的组合逻辑电路。 (1) 选择数据选择器:选8选1数据选择器74LS151。 (2) 标准与或表达式 756 m m m ABC C B A C AB AC AB Y '+'+'=++=+=' (3)写出数据选择器输出函数 776655443322110C m C m C m C m C m C m C m C m Y o +++++++= (4)对照上述两表达式,令A=A2,B=A1,C=A0,则n n m m '=,所以,C 0=C 1=C 2=C 3=C 4=0; C 5=C 6=C 7=1。 (5)画逻辑电路,如图7.11所示。

EDA设计38译码器

E D A设计38译码器-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

班级:通信13-3班 姓名:王亚飞 学号: 18 指导教师: 成绩: 电子与信息工程学院 信息与通信工程系

摘要 EDA技术是以微电子技术为物理层面,现代电子设计技术为灵魂,计算机软件技术为手段,最终形成集成电子系统或专用集成电路ASIC为目的的一门新兴技术。而VHDL语言是硬件描述语言之一,其广泛应用性和结构的完整性使其成为硬件描述语言的代表。随着社会经济和科技的发展,越来越多的电子产品涌如我们的日常生活当中,在日常生活中译码器起着不可忽视的作用。本设计就是运用VHDL语言设计的3-8译码器。3-8译码器电路的输入变量有三个即D0,D1,D2,输出变量有八个Y0-Y7,对输入变量D0,D1,D2译码,就能确定输出端Y0-Y7的输出端变为有效(低电平),从而达到译码目的。 关键词:EDA;3-8译码器

1实验目的 1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。 2、初步掌握VHDL语言的常用语句。 3、掌握VHDL语言的基本语句及文本输入的EDA设计方法。 2实验背景 VHDL的简介 VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为A I/IEEE的标准(IEEE STD 1076-1987)。1993年更进一步修订,变得更加完备,成为A I/IEEE的A I/IEEE STD 1076-1993标准。目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。VHDL 的英文全写是:VHSIC(Very High eed Integrated Circuit) Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。 VHDL语言的特点 VHDL是一种用普通文本形式设计数字系统的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,可以在任何文字处理软件环境中编辑。除了含有许多具有硬件特征的语句外,其形式、描述风格及语法十分类似于计算机高级语言。VHDL程序将一项工程设计项目(或称设计实体)分成描述外部端口信号的可视部分和描述端口信号之间逻辑关系的内部不可视部分,这种将设计项目分成内、外两个部分的概念是硬件描述语言(VHDL)的基本特征。

用译码器设计组合逻辑电路例题分析word精品

用译码器设计组合逻辑电路例题、用3线—8线译码器74HC138和门电路实现逻辑函数 ^A/B/C/A/BC/ABC。 (要求写出过程,画出连接图)(本题10分) Y° Y t Y2 Y3 Y4 Y5Y B Y7 74HC138 A] A A Q字昼 \ r ~Q Q ~ 解: (1)74HC138的输出表达式为:(2分) Y i/ =m((i =0 ?7) (2)将要求的逻辑函数写成最小项表达式:(2分) Y = A/B/C/ A/ BC/ ABC 二m0 m2 m7 = (m0m1/m7)/ (3)将逻辑函数与74HC138的输出表达式进行比较:设A= A2、B= A1、C= A o,得:Y =(m0m;m7)/ = (Y/Y^Y^)'(2分) (4)可用一片74HC138再加一个与非门就可实现函数。其逻辑图如下图所示。(4分) Y o Y1 Y; Y3 Y4 Y5 Y6 Y; 74HC138 A? A[ A o S1 S2 S3 r ~7" ABC +5V

三、公司A B C 三个股东,分别占有50% 30唏口 20%勺股份,试用一片3线-8 线译码器74HC138和若干门电路设计一个三输入三输出的多数表决器, 用于开会 时按股份大小记分输出通过、平局和否决三种表决结果。通过、平局和否决,分 别用X 、丫、Z 表示(股东赞成和输出结果均用1表示)。(12分) Y c Y 1 Y 2 Y 3 Y 4 Y 5 Y S Y 7 74HC138 A :, A. Ai S? S* X = AB /C ABC / ABC = m 5 m 6 m 7 = (m 5m 6m 7)/ 丫二 A ’BC AB /C / ABC 二 m 3 m 4 = Z = A /B /C / ' A / B /C A /BC / = m 0 m t 二(m 0m 1 m 2)/ (3)画连线图(4分) 令 74HC138的地址码 A^AA^B'A^C ABC XYZ 000 001 001 001 010 001 011 010 100 010 101 100 110 100 111 100 解: (1)列写真值表(4 分) (2)列写表达式(4 分)

实验三 数据选择器及其应用

实验三数据选择器及其应用 一、实验目的 1.掌握数据选择器的逻辑功能和使用方法。 2.学习用数据选择器构成组合逻辑电路的方法。 二、实验原理 数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 图9-1 4选1数据选择器示意图 图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。 1.八选一数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择I0~I78个数据源,具有两个互补输入端,同相输出端Z和反相输出端Z。其引脚图和功能表分别如下: 2.双四选一数据选择器74LS153

所谓双四选一数据选择器就是在一块集成芯片上有两个完全独立的4选1数据选择器,每个数据选择器有4个数据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一 个输出端Z,它们的功能表如表9-2,引脚逻辑图如图9-3所示。 图9-3 74LS153引脚逻辑图表9-2 74LS153的真值表 其中,EA、EB(1、15脚)分别为A路和B路的选通信号,I0、I1、I2、I3为四个 数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。S0(14脚)、S1(2脚)为地址信号,8脚为GND,16脚为VCC。 3.用74LS151组成16选1数据选择器 用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。 图9-4用74LS151组成16选1数据选择器

译码器和数据选择器

实验四译码器及其应用 一、实验目的 1.掌握中规模集成译码器的逻辑功能和使用方法 2.熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器可分为通用译码器和显示译码器两类。前者又分为变量译码器和代码变换译码器。 1.变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,图4-1(a)、(b)分别为其 逻辑图及引脚排列。其中A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S 为使能端。 (a) (b) 图4-1 3-8线译码器74LS138逻辑图及引脚排列 表4-1为74LS138功能表 当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其 它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。 表4-1

二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图4-2所示。若在S 1输入端输入数据信息,2S =3S =0,地址码所对应的输出是S 1数据信息的反码;若从2S 端输入数据信息,令S1=1、3S =0,地址码所对应的输出就是2S 端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图4-3所示,实现的逻辑函数是 Z =C B A C B A C B A +++ABC 图4-2 作数据分配器 图4-3 实现逻辑函数

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

数据选择器及其应用

数据选择器及其应用

物联网工程 郭港国 26 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择 器的功能类似一个多掷开关,有四路数据D 0~D 3 ,通过选择控制信号 A 1 、A (地 址码)从四路数据中选中某一路数据送至输出端Q。 1、双四选一数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4-1,功能如表4-1。 表4-1

图4-1 74LS153引脚功能 S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~ 2D 3分别为两个4选1数据选择器的数据输入端;Q 1 、Q 2 为两个输出端。 1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。 2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A 1、A 的状态, 将相应的数据D 0~D 3 送到输出端Q。 如:A 1A =00 则选择D O 数据到输出端,即Q=D 。 A 1A =01 则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。 2、数据选择器的应用—实现逻辑函数 例:用4选1数据选择器74LS153实现函数:ABC C AB C B A BC A F+ + + = 函数F的功能如表(4-2)所示 表4-2 表4-3

译码器和数据选择器实验报告

译码器和数据选择器 12级电子信息工程20121060192 朱加熊 实验目的 1、熟悉集成译码器和数据选择器。 2、掌握集成译码器和数据选择器的应用。 3、学习组合逻辑电路的设计。 实验仪器及材料 1、双踪示波器 2、器件: 74LS00 二输入端四“与非”门1片 74LS20 四输入端双“与非”门1片 74LS139 双2-4先译码器1片 74LS153 双4选1数据选择器1片 实验内容 1、译码器逻辑功能测试 将74LS139译码器按图3.1接线,按表3.1分别置位输入电平,填输出状态表。

仿真结果Y0 Y1

Y2 Y3

2、译码器转换 将双2-4线译码器转换为3-8译码器。 (1)、画出转换电路图。 (2)、在试验箱上接线并验证设计是否正确。 (3)、设计并填写该3-8线译码器逻辑功能表,画出输入、输出波形。 电路图

逻辑功能表 注:表中Y=Yi 表示Yi=0,其余输 出值为1 3、数据选择器的测试及应 用 (1)、将双4选1数据选择器74LS153参照图3.2接线,测试其逻辑功能并填写功能表3.2. A B C Y 0 0 0 Y0 0 1 Y1 0 1 0 Y 2 0 1 1 Y 3 1 0 0 Y 4 1 0 1 Y 5 1 1 0 Y 6 1 1 1 Y7

(2)、将试验箱上4个不同频率的脉冲信号接到数据选择器4个输入端,将选择端置位,使输入端分别观察到4种不同频率的脉冲信号。 (3)、分析上述实验结果并总结数据选择器的作用。 逻辑功能表 输出控制选择端数据输入端输出 E A1 A2 D3 D2 D1 D0Y H X X X X X X L L L L X X X L L L L L X X X H H L L H X X L X L L L H X X H X H L H L X L X X L L H L X H X X H

04第四章组合逻辑电路

第四章组合逻辑电路 ▲ 4.1概述 1 ?逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态, 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 『丫1 f1(X’、 X、X n) 斗丫2 f2(X’、 X2、 X n) JY n f n(X1、X2、X n) 4.2组合逻辑电路的分析和设计方法 一、分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)(3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。而与前 组合逻辑电路输出信号

(4)由真值表知:若输入两个或者两个以上的1, 输出丫为1 功能:在实际应用中可作为多数表决电路 使用。 练习:分析如图所示组合逻辑电路的功能 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数,并对它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。例2、 有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI门电路实现。要求如下: (1)一个班学生上自习,开小教室的灯。 (2)两个班上自习,开大教室的灯。 (3)三个班上自习,两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、E、C分别表示三个班学生是否上自习,1表示上自习,0表示不上自习; 输出变量Y、F分别表示大教室、小教室的灯是否亮,1表示亮,0表示灭。 (2)列真值表: (3)列真值 表: ABC 丫 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

实验二 数据选择器及其应用

实验二数据选择器及其应用 一、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-1 4选1数据选择器示意图图4-2 74LS151引脚排列 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

二、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法; 2、学习用数据选择器构成组合逻辑电路的方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、74LS151(或CC4512) 74LS153(或CC4539) 四、实验内容 1、测试数据选择器74LS151的逻辑功能。 接图4-7接线,地址端A2、A1、A0、数据端D0~D7、使能端S接逻辑开关,输出端Q接逻辑电平显示器,按74LS151功能表逐项进行测试,记录测试结果。 图4-7 74LS151逻辑功能测试

2、测试74LS153的逻辑功能。 测试方法及步骤同上,记录之。 逻辑功能见下表: 3、用8选1数据选择器74LS151设计三输入多数表决电路。 1)写出设计过程 有三个人进行表决,当其中任意两个人赞同时,输出为真,否则输出为假。真值表如下:

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

译码器设计组合逻辑电路案例分析

译码器设计组合逻辑电路案例分析 【信息单】 一、编码器 在数字系统中,把二进制码按一定的规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。编码器是一个多输入多输出的组合逻辑电路。 按照编码方式不同,编码器可分为普通编码器和优先编码器;按照输出代码种类的不同,可分为二进制编码器和非二进制编码器。 1.普通编码器 普通编码器分二进制编码器和非二进制编码器。若输入信号的个数N 与输出变量的位数n 满足N =2n ,此电路称为二进制编码器;若输入信号的个数N 与输出变量的位数n 不满足N =2n ,此电路称为非二进制编码器。普通编码器任何时刻只能对其中一个输入信息进行 编码,即输入的N 个信号是互相排斥的。若编码器输入为4个信号,输出为两位代码,则称为4线-2线编码器(或4/2线编码器)。 2.优先编码器 优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的信号进行编码的编码器。 3.集成编码器 10线-4线集成优先编码器常见型号为54/74147、54/74LS147,8线-3线常见型号为54/74148、54/74LS148。 4.编码器举例 (1)键控8421BCD 码编码器 10个按键S 0~S 9代表输入的10个十进制数0~9,输入为低电平有效,即某一按键按下,对应的输入信号为0,输出对应的8421码,输出为4位码,所以有4个输出端A 、B 、C 、D 。 真值表见表7.1,由真值表写出各输出的逻辑表达式为 9898S S S S =+=A 76547654S S S S S S S S =+++=B 76327632S S S S S S S S =+++=C 9753197531S S S S S S S S S S =++++=D

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。(?) 2. 编码器在任何时刻只能对一个输入信号进行编码。(?) 3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。(?) 4. 编码和译码是互逆的过程。(?) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。(?) 6. 3位二进制编码器是3位输入、8位输出。(?) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。(?) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。(?) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。(?) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。(?) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。(?) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A3~A0输入0110,B3~B0输入1011,Cin输入1时,Cout及S3~S0分别输出什么?

数据选择器及其应用解读

实验五数据选择器及其应用 [实验目的] 1、掌握中规模集成数据选择器的逻辑功能及使用方法。 2、学习用数据选择器构成组合逻辑电路的方法。 [实验原理] 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-5-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 图4-5-1 4选1数据选择器示意图图4-5-2 74LS151引脚排列 表4-5-1 1、8选1数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-5-2,功能如表4-5-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择1个需要的数据送到输出端Q,S为使能端,低电平有效。 (1)使能端S——=1时,不论A2~A0状态如何,均无输出(Q=0,Q——=1),多路开关被禁止。 (2)使能端S——=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中

某一个通道的数据输送到输出端Q 。 如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q=0。 如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q=D 1,其余类推。 2、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。74LS153的引脚排列如图4-5-3,功能如表4-5-2。 表4-5-2 图4-5-3 74LS153引脚功能 1S —— 、2S —— 为两个独立的使能端,A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3 分别为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。 (1)当使能端1S —— (2S —— )=1时,多路开关被禁止,无输出,Q=0. (2)当使能端1S —— (2S —— )=0时,多路开关正常工作,根据地址码A 1、A 0的状态,将相应的数据D 0~D 3送到输出端Q 。 如:A 1A 0=00,则选择D 0数据到输出端,即Q=D 0。 A 1A 0=01,则选择D 1数据到输出端,即Q=D 1,其余类推。 数据选择器的用途很多,例如多通道传输、数码比较、并行码变串行码以及实现逻辑函数等。 3、数据选择器的应用-实现逻辑函数 例1:用8选1数据选择器74LS151实现函数F=AB — +A — B (1)列出函数F 的功能表如表4-5-4所示。 (2)将A 、B 加到地址端A 1、A 0,而A 2接地,由表4-5-3可见,将D 1、D 2接“1”及D 0、D 3接地,其余数据输入端D 4~D 7都接地,则8选1数据选择器的输出Q ,便实现了函数 F=AB — +A — B 接线图如图4-5-4所示。 表4-5-3 图4-5-4 8选1数据选择器实现F=AB — +A — B 的接线图 显然,当函数输入变量数小于数据选择器的地址端(A)时,应将不用的地址端及不用的数据输入端(D)都接地。 例2:用双4选1数据选择器74LS153实现函数F= A — BC + AB — C +ABC — +ABC 函数F 的功能如表4-5-4所示。

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

实验三译码器及其应用、数据选择器及其应用

实验三 译码器及其应用、数据选择器及其应用 一、实验目的 1.掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2.用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端B S 、C S 和A S ,当A S =1、 B S = C S =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平.利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1.译码器 一个n 变量的译码器的输出包含了n 变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变量的全部最小项的译码.参见模拟电子技术基础教材中3线/8线译码器功能表. 用n 变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n 的组合逻辑电路. 2.数据选择器 一个n 个地址端的数据选择器,具有2n 个数据选择的功能.例如,数据选择器74LS151,n=3,可完成八选一的功能.参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 21002101210221032104210521062107Y A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D =+++++++ 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1.三输入变量译码器功能测试 地址输入端A 2A 1A 0是一组三位二进制代码,其中A 2权最高,A 0权最低,按实验电路图3-1接线,将实验结果填入功能表3-1中.

数字电路译码器设计

目录

1 绪论 设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国 际科学计算软件的先进水平。它主要由 MATLAB和Simulink两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。 2 电路分析 2-4译码器功能分析 2-4译码器有2个输入端,4个输出端和一个使能端。在使能端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为相反电平。输出信号可以是高电平有效,也可以是低电平有效。具体来说,2输入变量,A1 ,A0共有4种不同状态组合,因而译码器有4个输出信号Y???0~Y?????3 并且输出为低电平有效,其真值表如表1所示 ?????10 器处于非工作状态。而当Y???为0时,对应的A1,A0的某种状态组合,其中只有一个输出为0,其余各输出量均为1.例如,A1 A0=00,输出Y???0为0,Y???0~Y?????3均为1.由此可见,2-4

用译码器设计组合逻辑电路例题

用译码器设计组合逻辑电路例题 一、用3线—8线译码器74HC138W门电路实现逻辑函数 Y A/B/C/ A/ BC/ ABC。 (要求写出过程,画出连接图)(本题10分) 解: (1) 74HC138勺输出表达式为:(2分) Y i/ m:(i 0~7) (2) 将要求的逻辑函数写成最小项表达式:(2分) Y A/B/C/ A/BC/ ABC m0 m2 m7 (m0m1/m7)/ (3) 将逻辑函数与74HC138的输出表达式进行比较: 设A= A2、B= A1、C= A0,得:Y (m0m1/m7)/ (丫0/丫2/丫7/)/ (2分) (4) 可用一片74HC138H加一个与非门就可实现函数。 其逻辑图如下图所示。(4分) t 丫。Y1 Y2 Y3 Y4 Y5 Y a Y7 74HC138 A〉A〔A。Sg S3 r ~0 ABC +5V d.

三、公司A 、8 C 三个股东,分别占有50% 30咧日20%勺股份,试用一片3线-8 线译码器74HC138^若干门电路设计一个三输入三输出的多数表决器, 用丁开会 时按股份大小记分输出通过、平■局和否决三种表决结果。通过、平■局和否决,分 别用X 、Y 、Z 表示(股东赞成和输出结果均用1表示)。(12分) (3)画连线图(4分) 令 74HC138的地址码 A 2 A,A 1 B,A 0 C X AB /C ABC / ABC 74HC138 A- A* S 〔 Sg S3 == | 二 午 ABC +5V m 5 m 6 m 7 (m 5m ;m 7), Y A /BC AB /C / ABC m 3 mu / / / \ / (m 3m 4) Z A /B /C / A /B /C A /BC / m 0 m 1 m 2 (m 0m ;m ;)/ 解: (2)歹0写表达式(4

实验四数据选择器及其应用

实验四数据选择器及其应用 以下是为大家整理的实验四数据选择器及其应用的相关范文,本文关键词为实验,数据,选择器,及其,应用,实验,数据,选择器,及其,应,您可以从右上方搜索框检索更多相关文章,如果您觉得有用,请继续关注我们并推荐给您的好友,您可以在教育文库中查看更多范文。 实验四数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法

二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-14选1数据选择器示意图图4-274Ls151引脚排列 表4-1输入s输出A0×01010101Q0D0D1D2D3D4D5D6D7QA2×00001111A1×00110011100 0000001D0D1D2D3D4D5D6D7数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、 16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74Ls151 74Ls151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,s为使能端,低电平有效。 1)使能端s=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

相关主题
文本预览
相关文档 最新文档