当前位置:文档之家› 数字电子钟设计实训报告

数字电子钟设计实训报告

数字电子钟的设计

【摘要】

本系统由晶体振荡器、分频器、计数器、译码器、七段译码显示器和校准、报时电路组成,采用了CMOS或TTL系列(双列直插式)中小规模集成芯片。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能,进行了各单元电路设计,总体安装、制作及调试。数字钟是一种计时装置,不仅能替代指针式钟表,还可以运用到定时控制、自动计时及时间程序控制等方面,应用广泛。

【关键词】

石英晶振、分频器、计数器、译码器、七段译码显示器、校准、整点报时。

第一章数字电子钟总体方案

1.1数字电子钟总体方案的确定

数字电子钟组成一般由振荡器、分频器、计数器、译码器及显示器等几部分组成。石英振荡器产生的时标信号送到分频器,分频电路将时标信号分成秒脉冲,秒脉冲送入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数器电路实现,“分“的显示电路与“秒”相同。“时”的显示由两极计数器和译码器组成的二十四进制计数器电路实现。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态0进行七段显示译码器译码,通过六位七段译码显示器显示出来。整点报时电路根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”显示数字进行校对调整的。

数字电子钟总体方案框图

图1.1.1 数字电子钟组成框图

1.2数字电子钟电路组成

数字电子钟组成一般由振荡器、分频器、计数器、译码器及七段译码显示器等几部分组成(如图1.2.1所示)。石英振荡器产生的时标信号送到分频器,分频电路将时标信号分成秒脉冲,秒脉冲送入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数器电路实现,“分”的显示电路与“秒”相同。

1.3 数字电子钟电路的工作原理

数字电子钟一般由振荡器、分频器、计数器、译码器及显示器等几部分组成(如图2.2.1

所示)。石英振荡器产生的时标信号送到分频器,分频电路将时标信号分成秒脉冲,秒脉冲送

入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两

级计数器和译码器组成的六十进制计数器电路实现,“分”的显示电路与“秒”相同。“时”

的显示由两级计数器和译码器组成的二十四进制计数器电路来实现。

第二章数字电路单元设计

2.1 分频电路

由于我们直接使用的实验台上的脉冲信号,所以我们没有设计和使用分频电路。

2.2 计数器电路

2.2.1 二十四进制计数器电路

1、电路图(见附录)

2、工作原理:

由图可见,当分钟脉冲到来时计数到“24”时,同时清零,实现二十四进制技术器。

2.2.2 六十进制计数器电路

1、电路图(见附录)

2、工作原理:

74LS393是双四位二进制计数器,由它组成一个十进制计数器和一个六进制计数器,便组成了一个六十进制计数器,低位是一个由74LS393组成的十进制计数器,高位是由一个74LS393和一个与非门组成的六进制计数器。

A.十进制计数器的时序图:

.

12345678910

CLK

Q0

Q1

Q2

Q3

.

B.六进制计数器的时序图:

CLK Q0

Q1

Q2 MR1 MR2123456

..

.

图3.2.2.2六十进制时序逻辑图

2.2.3 时、分、秒计数器的组间级联问题

在进行了各个单元电路的设计完成以后,我们就应该对各个单元电路进行组装。我们在进行单元电路的设计的时候我们已经完成了单级的设计,并且对一些问题进行了处理,但是我们在进行级联的时候还是会出现许多的问题。

在级联的时候我们会遇到很多的问题,在级联的时候我们遇到的问题中,级与级之间不进位是最多的。我们为什么会遇到这样的问题呢?我们怎样才能解决它呢?

在单个级的时候,我们的进位信号是从进位直接到被进位,我们在进行级联的时候也采用这种方式就不行了。因为我们在进行级联的时候我们的进位信号在本级的时候还没有达到十,而我们的74LS190是一个十进制的计数器,因此在还没有达到十的时候,我们的14管脚就没有信号,因此我们就不能够从这连接进位信号。那么我们要从哪儿连接进位信号呢?在进行级联的时候,进位信号就要从我们的本级的清零信号来,这样就可以解决我们的级联不进位的问题。

在级联的时候,我们还可能碰到显示了不应该显示的数字。这又是什么原因呢?这钟原因是很简单的,这主要是我们在连接清零的信号的时候没有连接到要求的管脚,使得我们的信号不能够正常的进行清零。在这种情况下,我们应该检查我们的清零信号是否正确。2.3 译码显示电路

2.3.1共阴极译码显示电路

1. 74LS48的功能:

74LS248是4线—七段译码/驱动器,集电极开路输出,以高电平“1”驱动,用于共阴极显示器。74LS248内部接有2KΩ上拉电阻,在连接LED数码管时无需外接电阻。其中LT端为试灯输入端,用于检查七段显示器各字段是否能正常发光,当0

=

LT时,显示器应该显示出“8”字形,借此判断各段工作是否正常。RBO

BI/端为灭灯输入/动态灭零输出端,灭灯输

入端BI的功能与LT恰好相反,在BI=0时可以使七段显示器各字段均熄灭;动态灭零输出端RBO与BI公用一个端子,它的作用是使小数点两边的数字即使是零也显示出来,以便看到小数点的位置和检查无信号输入时显示器有无故障。RBI端为动态灭零输入端,它的作用是使显示器按照人们需要将所显示的零予以熄灭,而在显示1……9时则不受影响。正常使用时=

LT,1

1

RBO

BI,RBI=1。

/=

2.原理:

共阴极译码显示电路由七段译码器/驱动器74LS248和共阴极七段LED数码管组成。其原理图见图3.3.1。

公共阴极

a b f c g d

e

D PY 1234567a b c d e f g 8

dp

dp

DS1

DPY_7-SEG_DP a b f c g d

e

D PY 1234567a b c d e f g 8

dp

dp

DS2DPY_7-SEG_DP

B I /R B O

4R B I

5L T

3A 7B 1C 2D

6

a 13

b 12

c 11

d 10

e 9

f 15g

14

U1

74LS248

B I /R B O

4R B I

5L T

3A 7B 1C 2D

6

a 13

b 12

c 11

d 10

e 9

f 15g

14

U274LS248

VCC

VCC

74L S 190 Q A 74L S 190 Q A 74L S 190 Q B 74L S 190 Q B 74L S 190 Q C 74L S 190 Q C 74L S 190 Q D 74L S 190 Q D

图3.3.1 共阴极译码显示电路

74LS48将来自计数器的四位二进制代码翻译成对应的一组七位二进制代码,驱动七段LED 数码管显示出数字来。共阴极七段数码管相当于阴极连接在一起的七个发光二极管,当从其某一输入端(二极管的阳极)输入一个高电平信号,对应的发光二极管导通发光,从而显示出一个数字来。

第三章 集成块选型

3.1 74LS393

3.1.1 74LS393"管脚及功能如下:

3.1.2真值表:

3.1.3 功能简介:

74LS393是双四位二进制计数器,独立时钟输入,异步计数,并具有直接清除功能。74LS393采取串行进位连接方式,其输出端Q0、Q1、Q2、Q3分别按2、4、8、16进行分频计数。74LS393每一位都有一个清除端MR,高电平有效。由于74LS393含有两个四位二进制计数器,所以只要将二进制计数器的Q3端和下一个二进制计数器的CLK端相接,则成为一个八位二进制计数器。

3.2 74LS51

3.2.1 74LS51输入与或非管脚及功能如下:

3.2.2真值表:

3.2.3 功能简介:

74LS51是与或非门,它可实现4位输入信号的与或非。

3.3 74LS48

3.3.1 74LS248”集成块管脚及功能如下:

3.3.2 功能表:

3.3.3 功能简介:

74LS48为七段译码器,当BI=0时,输出a-g为低电平0,显示器不显示;当BI=LT=1,RB=0时,a-g输入8421BCD码,译码器输出相对应的数字。

3.4 74LS08

3.4.1 74LS08集成块管脚

3.4.2真值表:

3.4.3 功能简介:

74LS08是一个与门。

3.5 CD4071

3.5.1 CD4071"集成块管脚:

CIN 11COUT 9COUT 10RST

12

Q47Q55Q64Q76Q814Q913Q1015Q121Q132Q14

3

U?

406040603.5.2 真值表:

输 入 功 能

RS MR X 1

清除

0 计数 ↑

0 保持

3.5.3 功能简介:

4060为一个分频集成块,Q4---Q14为各个频率输出端,MR 为清除端,RTC 为时钟输出端,RS 为时钟输入端。

第四章安装与调试

4.1 在综合实验台上安装及调试

4.1.1 检查导线和元件的好坏

数码管的检验

提供的数码管有10个管脚,上、下各5个,中间的两个管脚为负极。检验的时候,两个负极管脚接地,+5v电源出来接一个1千欧的电阻(防止数码管被烧坏)再分别接数码管的其他8个管脚,如果每一段都亮了,说明数码管是好的。

导线的检验

导线的一端接+5v电源或+12v电源,另一端接电平显示器,如果电平显示器亮,则说明导线是好的。

74ls393的检验

74ls393是双四位二进制计数器,独立时钟输入,异步计数,并具有直接清除功能。检验的时候14管脚接+5v,7管脚接地,1管脚接一个单次脉冲,2管脚CR端接低电平,6、5、4、3管脚分别为Q3、Q2、Q1、Q0,把6、5、4、3管脚依次接在电平显示器上。当第一个下降沿来的时候开始计数。一直加入脉冲如果电平显示器上能从0000依次显示到1111,CR端为高电平1时能清0,说明这个计数器是可以用的。又因为74ls393是由2个计数器构成,所以集成块上的另一个计数器用同样的原理检验正确,说明这个集成块是好的。否则是坏的。

74ls48的检验

74ls48是4线—七段译码驱动器,集电极开路输出,以高电平“1”驱动,用于共阴极显示器。检验的时候16管脚接+5v,8管脚接地,6、2、1、7管脚分别为A3、A2、A1、A0,把6、2、1、7管脚接在电平开关上,13、12、11、10、9、15、14管脚分别为Ya、Yb、Yc、Yd、Ye、Yf、Yg,把这几个管脚对应接在共阴极数码显示器的a、b、c、d、e、f、g段上。在电平开关上输入0000时,数码显示器显示为“0”,输入0001时显示为“1”,依次输入二进制数会显示相应的数字。如果“0”到“9”全部显示出来,说明74ls48集成块是好的。否则是坏的。

74ls08的检验

74ls08是由4个与门组成的集成块。检验的时候14管脚接+5v,7管脚接地,1、2管脚

为第一个门的地址端,3管脚为第一个门的输出端。检验的时候1、2管脚接电平开关,3管脚接电平显示器。如果当地址端有一个为低电平“0”时,输出Y端为“0”。当地址端全部为“1”时,输出Y端为“1”。说明这个门是好的。集成块上的其他三个与门用同样的方法检验,如果满足与门电路的规则,则说明这个集成块是好的。否则是坏的。

CD4071的检验

CD4071是由4个或门组成的集成块。检验的时候14管脚接+5v,7管脚接地,1、2管脚为第一个门的地址端,3管脚为第一个门的输出端。检验的时候1、2管脚接电平开关,3管脚接电平显示器。如果当地址端有一个为高电平“1”时,输出Y端为“1”。当地址端全部为“0”时,输出为“0”。说明这个门是好的。集成块上的其他三个或门用同样的方法检验,如果满足或门电路得规则,则说明这个集成块是好的。否则是坏的。

74ls04的检验

74ls04是由6个非门组成的集成块。检验的时候14管脚接+5v,7管脚接地,1、3、5、9、11、13管脚分别为地址端。2、4、6、8、10、12管脚分别为输出端。检验第一个门的时候1管脚接电平开关,2管脚接电平显示器。如果地址端为“0”的时候,输出端为“1”,地址端为“1”的时候,输出端为“0”。则说明第一个非门是好的。其他的五个非门用同样的方法检验,如果满足非门电路的规则,则说明这个集成块是好的。否则是坏的。

74ls51的检验

74ls51是由2个与或非门组成的集成块。检验的时候14管脚接+5v,7管脚接地,2、3、4、5管脚为第一个与或非门的地址端,6管脚为第一个门的输出端。1、13、10、9管脚为第二个与或非门的地址端,8管脚为第二个门的输出端。检验第一个与或非门时,2、3、4、5管脚接电平开关,6管脚接电平显示器。如果地址端全部为“0”时,输出端为“1”,如果地址端全部为“1”时,输出端为“0”,如果地址端只有一个为“0”时,输出端为“0”,如果输出端只有一个为“1”时,输出为“1”。则说明第一个与或非门事好的。第二个与或非门用同样的方法检验,如果满足与或非门电路的规则,则说明第二个与或非门也是好的。否则是坏的

4.1.2 实验步骤及调试

1、做二十四进制电路的时候用一个74ls393、一个74ls08和一个CD4071按照电路(图1)接出二十四进制电路,然后将74ls393的1Q3、1Q

2、1Q1、1Q0和2Q

3、2Q2、2Q1、2Q0端接在电平显示器上,能实现二十四进制后,再将1Q3、1Q2、1Q1、1Q0和2Q3、2Q2、2Q1、2Q0端接在74ls48的地址端。74ls48的Ya到Yg端分别接在数码管的a到g端,再测验是否能

实现二十四进制。

2、做六十进制电路的时候用一个74ls393和一个74ls08按照电路(图2 )接出六十进制电路,然后将74ls393的1Q

3、1Q2、1Q1、1Q0和2Q3、2Q2、2Q1、2Q0端接在电平显示器上,能实现六十进制后,再把1Q3、1Q2、1Q1、1Q0和2Q3、2Q2、2Q1、2Q0端接在74ls48的地址端。74ls48的Ya到Yg端分别接在数码管的a到g端,再测验是否能实现六十进制。

3、成功后进行级联。把二十四进制的脉冲接在六十进制高位的Q2上。当分(六十进制)完成一个周期的显示以后,时显示上来了一个脉冲,所以在原来的基础上增加一。

4、校正电路的连接:按照(图 3 )在实验台上正确的连接好校时电路的线路,对分的校时的方法:将校时电路中的 A 端连接到手动脉冲端,将 D 端连接到连续脉冲端,通过控制端 B和C端来控制校时端的工作时刻,当 B 端为高电平,C 端为低电平时,则可对电路进行校时,反之,如果 B 端为低电平 C 端为高电平时,电路则按原来的连续脉冲信号进行工作。对时的校时和分的一样。

4.1.3 集成电路接线技巧

综合考虑各种集成电路之间的连接关系,合理安排集成电路在实验箱(或面包板)上的位置。为了方便布线和检查线路,所有集成电路应按同一方向插入,不要倒插或反插。

集成电路使用前最好先进行整形,用镊子把引脚稍向内弯,使两排引脚恰好能插入面包板的插座孔中。拆卸集成电路时最好使用集成电路起拔器,以免把引脚弄弯,甚至折断。

布线力求整齐、易查,电源正、负极,输入、输出线尽可能分别采用不同颜色的导线。导线不要跨过集成电路,并使导线贴近面包板表面分布。

插线要保证稳妥可靠,引线两端的绝缘胶皮不可剥得过短或过长(一般7~8mm为宜)。用过的导线要先把线头弄直,保证可靠地插入插座孔内。

布线的顺序通常是先接集成电路的正、负极,再接输入线、输出线及控制线。接线时要仔细核对管脚排列图,以免接错。

逻辑功能完整的电路应分单元检查,接好一个单元测试一个单元,及时排除线路故障。

4.2 故障分析及其解决方法

1、在做二十四进制的时候,数码管显示“23”后,下一个显示是“04”,在理论上应该是显示“00”。通过分析,是低位端没有清到“0”。经过检查后,发现是CD4071的管脚接触不良。重新换上一个CD4071后,数码管显示正确。

2、有些时候会突然显示不正确,结果是因为剥线是用力过大,导致导线受损,最后导线

断在面包板上,接线不通。

材料清单

1、数字钟材料清单:

若采用所提供的振荡电路和74LS190组成的分频器、74LS190组成的60进制计数器和24进制计数器、74LS248译码器和共阴极数码管组成的译码显示电路,以及提供的整点报时电路及校时电路,则所需元件为:

号元件名称型号规格

1 双四位二进制计数器74LS393

3

2 或门CD4071 1 块

3 4线—七段译码器/显示器74LS248 6 块

4 与非门74LS51 1 块

5 与门74LS08 2 块

6 直流电源+5V 1 台

7 导线若干根

8 共阴极数码管503C 6 个

9 电阻1KΩ 1 个

10 无极性电容器50pF 2 个

11 D触发器74LS74 1 块

总结

通过两周的实习,我认识到理论知识和实践相结合是相当重要的一个环节,有时候我们自以为很简单的事情,当做起来的时候才知道其实并不是我们想象中的那么简单。做好一件事不仅要掌握一定的技巧,而且还必须具备一定的素质才能完成。

这次实习过程中,我从刚开始对电路的茫然到现在能够完成数字钟电路的转变是来之不易的。在实习期间,通过遇到问题、发现问题并解决问题让我学会了如何按照要求设计出合适的电路。并在老师的帮助下,加上教材和自己查阅的资料,完成了数字钟的设计和安装调试。在试验台上进行调试的一天中,让我进一步加深了对计数器,译码器,门电路,数码管等集成块功能的掌握和检验集成块好坏的方法,学会了自己发现问题和排除故障,并且加强了我们的动手能力。

实习的两周给我留下了深刻的印象,并让我明白了一个道理:在遇到问题的时候不能第一时间就去问别人,一定要先自己解决,或者查阅资料,在实在解决不了的情况下再去请教别人,这样才能把知识掌握的更加牢固。同时也明白了团队精神的重要性。

虽然我现在学会了如何去完成符和要求的数字钟电路,但是离真正能够用自己所学的知识去完成一个电路的设计还有一段距离。我相信这次的实习对我以后的学习生涯有很大的帮助。

致谢

在这两周的实习中,我得到了许多人的帮助。

首先我要感谢我们的黄宁老师在课程设计上给予我们的指导、提供给我们的支持和帮助,这是我能顺利完成这次实习的主要原因,更重要的是黄老师帮我们解决了许多技术上的难题,让我能把安装调试做得更加完善。在此期间,我不仅学到了许多新的知识,而且也开阔了视野,提高了自己的设计能力。

其次,我要感谢帮助过我的同学,他们也为我解决了不少我不太明白的难题。同时也感谢学院为我们提供了很好的实习环境。

最后再一次感谢所有在设计中曾经帮助过我的良师益友和同学。

参考文献

[1]《实用电工电子技术实验实训教程》主编:王光福 21世纪高职高专系列规划教材电子科技大学出版社。

[2]《电子线路CAD设计》主编:卢庆林高职高专电子技术系列教材重庆大学出版社。[3]《数字电子技术》(第2版)主编:杨志忠普通高等教育“十五”国家级规划教材高等教育出版社。

设计成绩评定书

班级:09电子6班姓名:王兰兰说明书:页设计图纸:张答辩时间:年月日

评审老师:职称:

数字电子钟实验报告

咸阳师范学院物理与电子工程学院 课程设计报告 题目: 班级: 姓名: 学号: 指导教师: 成绩: 完成日期:年月

目录 第一章概述 3 第二章数字电子钟的电路原理 4 第三章电路调试与制作11 第四章总结与体会12 第五章附录13

第一章概述 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 虽然市场上已有现成的数字集成电路芯片出售,价格便宜,使用方便,这里所制作的数字电子可以随意设置时,分的输出,是数字电子中具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 课程设计目的 (1)加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识。 (2)把理论知识与实践相结合,充分发挥个人与团队协作能力,并在实践中锻炼。 (3)提高利用已学知识分析和解决问题的能力。 (4)提高实践动手能力。

第二章数字电子钟的电路原理 数字电子钟的设计与制作主要包括:数码显示电路、计数器与校时电路、时基电路和闹铃报时电路四个部分。 1.数码显示电路 译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。显示器件选用FTTL-655SB双阴极显示屏组。在计数电路输出信号的驱动下,显示出清晰的数字符号。 2.计数器电路 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。 3.校时电路 数字钟电路由于秒信号的精确性和稳定性不可能做到完全准确无误,时基电路的误差会累积;又因外部环境对电路的影响,设计产品会产生走时误差的现象。所以,电路中就应该有校准时间功能的电路。通过手动调节按键,达到校准的目的。 4.定时报警电路 当调好定时间后并按下开关K1(白色键),显示屏右下方有红点指示,到定时时间有驱动信号经R3使VT1工作,即可定时报警输出。 芯片资料 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。作为时钟,它准确醒目;作为控制开关,它动作无误;在1小时59分钟或59分钟内,能任意暂停,使用十分方便。 仔细观察从0-9的每个数字并比较图1所示的笔段。内部电路参看图2, LM8560各脚功能,参看图3。

《六位数数字电子钟》实训报告书

实训报告 一. 实训目的: 了解常用电子元器件的性能,规格,质量参数及其意义.学习借助万用表鉴别其性能好坏的方法,学习通孔插装元器件的组装焊接技术,提高焊接水平. 通过数字钟组状与调试学习,提高识图能力及实际操作技能. 二. 实训内容: 1.常用元器件识别及测试性能鉴别 2.通孔插装元器件手工焊接及拆焊 3.数字钟组装调试 三. 实训步骤: 1.常用元器件识别及测试性能鉴别 1)三用表使用方法学习 2)电阻、电容、电感、二极管、三极管、集成电路等(符号、参数、万用表测试三极管及其E.B.C判别方法) 2.通孔插装元器件手工焊接及拆焊 1)常用工具使用方法学习 钳子、镊子、起子、吸锡器、烙铁等 2)焊料(焊锡丝) 3)助焊剂 4)手工焊接 A.对焊点要求 B.焊接要领(五步法) C.焊件表面处理:保持烙铁头清洁、焊锡、焊剂用量适中、焊件整形及固定、烙 铁撤离方向等 5)拆焊要求 拆焊原则、拆焊工具、拆焊操作要点 焊接目标(1)学会使用电烙铁,能够掌握手工锡焊的操作要领和操作方法。(2)初步掌握电子装焊技术及焊接质量的鉴别方法。 焊接器材1、工位;2、元件:电阻器(3只)、电解电容器(2只)、三极管(2只)3、焊接工具:电铬铁、焊料、万能板、镊子 一、工作准备: 1、工位准备:进行7S检查,检查工位基本情况(包括工具、器材等的摆放,卫生等)。 2、领取工作任务,了解工作要求。 二、观看教师示范操作: 1、观看教师示范操作,了解操作要领,知道操作规范. 2、明确手工锡焊的操作要领和操作方法,知道焊接质量的鉴别方法. 3、手工焊接五步操作法:

实训过程 步骤一准备 认准焊点位置,准备好焊锡丝和烙铁,处于随时 可焊接的状态。此时特别强调的施烙铁头部要保 持干净,即可以沾上焊锡(俗称吃锡)。 步骤二加热 将烙铁头放在工件焊点处,加热焊接点。注意首 先要保持烙铁加热焊件各部分,例如印制板上引 线和焊盘都使之受热,其次要注意让烙铁头的扁 平部分(较大部分)接触热容量较大的焊件,烙 铁头的侧面或边缘部分接触热容量较小的焊件, 以保持焊件均匀受热。 步骤三送焊锡 当焊件加热到能熔化焊料的温度后将焊丝置于焊 点,焊料开始熔化并润湿焊点。 步骤四去焊锡当熔化一定量的焊锡后将焊锡丝移开。 步骤五移烙铁 当焊锡完全润湿焊点后移开烙铁,注意移开烙铁 的方向应该是大致45°的方向。要保证焊点美观。 上述过程,对一般焊点而言焊接时间大约2~3秒钟。对于热容量较小的焊点,例如印制电路板上的小焊盘,有时用三步法概括操作方法,即将上述步骤2,3合为一步,4,5合为一步。实际上细微区分还是五步,所以五步法有普遍性,是掌握手工烙铁焊接的基本方法。特别是各步骤之间停留的时间,对保证焊接质量至关重要,只有通过实践才能逐步掌握。 4、烙铁头保养方法: (1)选用合适的锡线,焊接时应该使用63%—37%铅含量的焊料,并经常以锡层保护焊铁头。除此之外,也应该尽量选用较粗的锡线进行焊接工作,因为较粗的锡线对焊铁头有较好的保护。(2)保持焊铁头清洁,用湿润的专用清洁海绵抹去焊铁头上的助焊剂、旧锡和氧化物。每一次使用后,一定要把焊铁头上的氧化物清洁干净,再在焊铁头的镀锡层上加上新锡。 (3)经常在焊铁头表面涂上一层锡,这可以减低焊铁头的氧化机会,使焊铁头更耐用。使用后,应待焊铁湿度稍为降低后才涂上新锡层,使用权镀锡层达致更佳的防氧化效果。 (4)把焊铁摆放在焊铁架上,不需使用焊铁时,应小心地把焊铁摆放在合适的焊铁架上,以免焊铁受到碰撞而损坏。 (5)即时清理氧化物,当镀锡层部分含有黑色氧化物或生锈时,有可能令焊铁头上不了锡而不能进行焊接工作。如果发现镀锡层有黑色氧化物而不能上锡,必须即时清理。 5、焊接注意事项: (1)元件焊接前:清除焊接部位的氧化层,可用断锯条制成小刀,刮去金属引线表面的氧化层,使引脚露出金属光泽。印刷电路板可用细纱纸将铜箔打光后,涂上助焊剂;元件镀锡,在刮净的引线上镀锡,使引线均匀地镀上一层很薄的锡层。 (2)拿开电烙铁,当焊点上焊锡已近饱满,焊剂(松香)尚未完全挥发,温度适当,焊锡最亮,流动性最强时,将烙铁头沿元件引脚方向迅速移动,快离开时,快速往回带一下,同时离开焊点,才能保证焊点光亮、圆滑、无毛刺。用偏口钳将元件过长的引脚剪掉,使元件引脚稍露出焊点即

数字电子钟设计实训报告

数字电子钟的设计 【摘要】 本系统由晶体振荡器、分频器、计数器、译码器、七段译码显示器和校准、报时电路组成,采用了CMOS或TTL系列(双列直插式)中小规模集成芯片。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能,进行了各单元电路设计,总体安装、制作及调试。数字钟是一种计时装置,不仅能替代指针式钟表,还可以运用到定时控制、自动计时及时间程序控制等方面,应用广泛。 【关键词】 石英晶振、分频器、计数器、译码器、七段译码显示器、校准、整点报时。 第一章数字电子钟总体方案 1.1数字电子钟总体方案的确定 数字电子钟组成一般由振荡器、分频器、计数器、译码器及显示器等几部分组成。石英振荡器产生的时标信号送到分频器,分频电路将时标信号分成秒脉冲,秒脉冲送入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数器电路实现,“分“的显示电路与“秒”相同。“时”的显示由两极计数器和译码器组成的二十四进制计数器电路实现。 秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态0进行七段显示译码器译码,通过六位七段译码显示器显示出来。整点报时电路根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”显示数字进行校对调整的。 数字电子钟总体方案框图

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:工程技术系 班级:电信0901班 姓名:XX 学号:XXXXXX

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 七、附录 (10)

一、前言 数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,以其显示的直观性、走时准确稳定而受到人们的欢迎,广泛用于个人家庭、车站、码头、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便,已成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体与 555 振荡器的广泛应用,使得数字钟的精度远远超过老式钟表,钟表的数字化给人们生产生活带来了极人的方便,而目大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、通断动力设备、以及各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示时、分、秒的数字钟。要求: 1、24小时为一个计数周期; 2、具有校时功能; 3、具有整点报时功能; 4、主要采用中小规模集成电路完成设计; 5、电源电压+5V。 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、分、秒、”计数器、译码器及显示器、电路组成。 首先构成一个由32768Hz的石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,由74LS161采用清零法分别组成六十进制的秒计数器、六十进制分计数器、二十四进制时计数器和七进制的周计数器。使用由32768Hz

数字电路电子钟设计实验报告

数字电路电子钟设计实验报告 目录 1.实验目的 2.实验题目描述和要求 3.设计报告内容 3.1实验名称 3.2实验目的 3.3实验器材及主要器件 3.4数字电子钟基本原理 3.5数字电子钟制作与调试 3.6数字电子钟电路图 3.7数字电子钟的组装与调试 4.实验结论 5.实验心得

1.实验目的 ※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; ※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; ※提高电路布局﹑布线及检查和排除故障的能力; ※培养书写综合实验报告的能力。 2.实验题目描述和要求 (1)数字电子钟基本功能 数字电子钟是一个大众化产品,一般来讲应具有以下基本功能。 ①能进行小时、分、秒显示。 ②能进行小时、分、秒设置。 ③能实现整点报时。 ④能通过设置,实现任意时间报时。 (2)数字电子钟基本性能 一个实用的数字电子钟应满足三个“度”:精度、亮度和响度。 ①精度是指显示的时间必须准确。 ②亮度是指显示的时间必须让人看得清楚。 ③响度是指报时的声音必须清脆有力。 (3)数字电子钟用于教学设计时必须考虑的因素 从教学角度来看,数字电子钟的设计应考虑以下几点。 ①数字电路可由多种不同方案实现,在方案比较时应着重考虑所选

用的方案在设计时能否把数字电路包含的主要知识全部囊括进去。 ②应把数字电子钟分解成若干个模块,并在印制电路板设计时把各 模块固定在不同的区域。 ③应确保大多数学生能在规定时间内完成制作与调试。 ④数字电子钟印制电路板(PCB)设计时除留下足够的训练内容让学 生完成外,应设计一标准印制电路板设计示范区。 (4)本教材设计的数字电子钟总体方案 根据以上分析,本教材把数字电子钟分解为信号电路、显示电路、计时电路、校时电路和报时电路五个功能相对独立的模块(如图8-1 所示),采用如图8-2所示的设计方案,并按要求实施时参照一下规定进行。 ①各模块的制作、调试按显示电路、信号电路、计时电路、校时电 路和报时电路的顺序进行。 ②计时电路中的小时计数器为24进制或12进制。 ③校时电路设计为校时信号统一从计时电路的秒输入端输入,这样

数字电子钟实习报告

数字电子钟实习报告 数字电子钟实习报告 一、实习背景和目的 在现代社会中,数字电子钟被广泛应用于家庭、办公室、学校等场所。作为一种数字化产品,数字电子钟具有精确的时间显示功能,操作简便,设计多样化等特点,十分受人们的喜爱。为了深入了解数字电子钟的生产制造过程,提高自身实践能力,我选择了参加数字电子钟的实习。 二、实习内容 1. 入职培训:入职后,我接受了一周的职场培训,学习了公 司的产品知识、工艺流程、质量标准等。通过培训,我对数字电子钟的制造过程有了初步的了解。 2. 生产线实习:之后,我被分派到生产线进行实习。在 生产线上,生产过程被细分为多个环节,每个环节都有专门的工人进行操作。我从最基础的环节开始,一步一步学习,逐渐掌握了数字电子钟的生产技术。 3. 质量控制:数字电子钟的制作需要严格的质量控制, 确保每一台产品都符合标准。我参与了质检部门的工作,学习了如何进行产品的质量检测和品质把控。 4. 设计创新:在实习期间,我还有机会参与数字电子钟 的设计创新。通过研究市场需求和竞争对手的产品,我学到了如何提出创新设计,并与设计师团队合作进行改进和优化。 5. 解决问题:在实习期间,我也遇到了一些生产中的问题,例如产品缺陷、工艺不合理等。我积极与相关部门合作,寻找解决方案,并提出改进措施。 三、收获和体会

通过数字电子钟的实习,我获得了很多宝贵的经验和知识。首先,我深刻理解到了团队合作的重要性。在生产线上,每个环节都需要不同的工人配合完成,只有团队紧密合作,才能完成高质量的产品。其次,在质量控制方面,我学到了严谨的态度和细致的观察力,能够准确判断产品的问题并提出改进建议。另外,设计创新也是数字电子钟实习中的重要组成部分,通过学习和实践,我对产品设计的原则和流程有了更深入的了解。 此外,实习过程中我也有一些反思。首先是要加强自身的技术能力,只有掌握更多的专业知识,才能更好地适应未来的工作。其次是注重团队协作和沟通能力的培养,这对于工作中的合作和协调至关重要。另外,要提高自身的思维能力和问题解决能力,遇到困难时能够迅速找到解决方法。 四、实习总结 通过数字电子钟的实习,我不仅学到了专业知识和技能,还提高了实践能力和团队合作意识。实习过程中,我不仅为数字电子钟的生产贡献了自己的力量,也感受到了企业的运作和管理模式,增强了自己对职场的认识和理解。此次实习不仅为我的未来工作奠定了基础,也为我发展个人能力和职业素养打下了重要的基础 通过数字电子钟的实习,我在团队合作、质量控制和设计创新方面都有了很大的收获。我深刻理解到团队合作的重要性,只有团队紧密合作才能完成高质量的产品。在质量控制方面,我学到了严谨的态度和细致的观察力,能够准确判断产品问题并提出改进建议。同时,通过学习和实践,我对产品设计的原则和流程有了更深入的了解。 在实习过程中,我也有一些反思。首先,我要加强自身的

数字钟实训心得体会

数字钟实训心得体会 【篇一:数字时钟实训报告】 物理与机电工程学院课程设计报告课程名称:数字电子技术 课程题目:数字时钟的设计制作系部:物理与机电工程学院专业班级: 09电子信息工程1班学生姓名:丁孟飞 指导教师:范宜标、李建华完成时间: 2011年10月15号报告成绩:目录 一、数字时钟的设计与制作???????????????????2 1.1 1.2 1.3 设计目的 ????????????????????????2 设计要 求 ????????????????????????2 设 计方案及论证 ?????????????????????2 1.3.1 设计逻辑框图及原理方框图???????????????2 1.3.2 “秒脉冲信号发生器”的设计、原理图??????????2 1.3.3 计数、译码/驱动及显示部分的设计 ???????????4 1.3.4 秒计数、译码/驱动及显示部分的设计 ??????????5 1.3.5 分计数、译码/驱动及显示部分的设计???????????6 1.3.6 时计数、译码/驱动及显示部分的设计???????????6 1.3.7 分时校准电路的设计 ??????????????????6 1.4 焊接技术及安装工艺 ???????????????????8 1.5 调试步骤及故障排除 ???????????????????8 1.6 附图 ??????????????????????????9 1.6.1 1.6.2 1.6.3 1.6.4 一些芯片的引脚及功能 ???????????????9 原理 图 ??????????????????????10 pcb版图 ?????????????????????11 设计所需器材与工 具 ????????????????11 二、设计小结 ????????????????????????12 三、设计参考资料 ??????????????????????12 一、数字时钟的设计制作 1.1 设计目的

数字电子钟设计实验报告

数字电子钟设计实验报告 实验项目名称:数字电子钟的设计 实验项目性质:普通试验 所属课程名称:VHDL程序设计 实验计划学时:4学时 一、实验目的 掌握VHDL程序设计方法 二、实验内容和要求 能够实现小时(24进制)、分钟和秒钟(60进制)的计数功能 具有复位功能 功能扩展:具有复位、整点报时提示、定时闹钟等功能 在软件工具平台上,进行VHDL语言的各模块编程输入、编译实现和仿真验证。 三、实验主要仪器设备和材料 计算机 四.设计思想 1、计数模块: Q0为六十进制计数,代表秒计数,当Q0<59时,每逢一个时钟上升沿Q0增加1,直到当Q0=59时,再逢一个时钟上升沿,立即输出高电平至进位CLK1。 使得CLK1为一个60秒为周期的时钟,作为六十进制分计数Q1时钟。同理,当Q1<59时,每逢一个时钟上升沿Q1加1,直到当Q1=59,再逢一个时钟上升沿,立即输出高电平到进位CLK2。 CLK2是一个60分钟为周期的时钟,作为二十四进制时计数Q2的时钟。

2、复位模块: 分别在秒,分,时计数模块语句之前加入一个判断语句IF RST=‘0',如果复位输入RST为0则跳过计数模块,不为0则运行计数模块。 3、整点报时模块: 判断秒,分计数是否都为0,【Q1=("000000")AND(Q0="000000")】,如果是,则令报时ALM0输出为1,不是则输出为0。 4、定时闹钟模块: 用户设定闹钟DS(秒),DF(分),DM(秒)的输入,当它们都等于输出的Q1(分),Q2(时)数值时,则令闹钟ALM1输出为1,否则输出为0。 五、源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY clock IS PORT (CLK,RST:IN STD_LOGIC; CLK1,CLK2:INOUT STD_LOGIC; CLK3:OUT STD_LOGIC; S:OUT STD_LOGIC_VECTOR(4 DOWNTO 0); F,M:OUT STD_LOGIC_VECTOR(5 DOWNTO 0); DS:IN STD_LOGIC_VECTOR(4 DOWNTO 0); DF,DM:IN STD_LOGIC_VECTOR(5 DOWNTO 0); ALM0,ALM1:OUT STD_LOGIC); END clock; ARCHITECTURE one OF clock IS BEGIN PROCESS(CLK,RST) VARIABLE Q0: STD_LOGIC_VECTOR(5 DOWNTO 0); VARIABLE Q1: STD_LOGIC_VECTOR(5 DOWNTO 0); VARIABLE Q2: STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN IF RST='0' THEN Q0:=(OTHERS=>'0'); ELSIF CLK'EVENT AND CLK='1' THEN IF Q0="111011" THEN CLK1 <= '1' ; ELSE CLK1<='0'; END IF ; IF Q0<59 THEN Q0:=Q0+1; ELSE Q0:=(OTHERS=>'0') ; END IF; END IF; IF RST='0' THEN Q1:=(OTHERS=>'0');

数字电子钟电子制作实训报告

电子制作实训报告 题目:数字电子钟 班级:09电信 *名:*** 指导教师:** 湖北轻工职业技术学院 完成日期:2011年4月16日

目录 第一章概述 3 第二章数字电子钟的电路原理 4 第三章电路调试与制作12 第四章总结与体会12 第五章附录13

第一章概述 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 虽然市场上已有现成的数字集成电路芯片出售,价格便宜,使用方便,这里所制作的数字电子可以随意设置时,分的输出,是数字电子中具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 设计目的 (1)加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识。 (2)把理论知识与实践相结合,充分发挥个人与团队协作能力,并在实践中锻炼。 (3)提高利用已学知识分析和解决问题的能力。 (4)提高实践动手能力。

第二章数字电子钟的电路原理 数字电子钟的设计与制作主要包括:数码显示电路、计数器与校时电路、时基电路和闹铃报时电路四个部分。 1.数码显示电路 译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。显示器件选用FTTL-655SB双阴极显示屏组。在计数电路输出信号的驱动下,显示出清晰的数字符号。 2.计数器电路 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。 3.校时电路 数字钟电路由于秒信号的精确性和稳定性不可能做到完全准确无误,时基电路的误差会累积;又因外部环境对电路的影响,设计产品会产生走时误差的现象。所以,电路中就应该有校准时间功能的电路。通过手动调节按键,达到校准的目的。 4.定时报警电路 当调好定时间后并按下开关K1(白色键),显示屏右下方有红点指示,到定时时间有驱动信号经R3使VT1工作,即可定时报警输出。

数字电子时钟实验心得5篇

数字电子时钟实验心得5篇 _数字电子时钟实验心得1_ 基于AVR单片机Mega_的电子时钟设计摘要】 Mega_是一款采用先进RISC精简指令,内置A/D的8位单片机,可支持低电压联机Flash和EEPROM写入功能;同时还支持Basic和C等高级语言编程. 用它设计电子时钟不仅成本低,硬件简单,. 基于AVR单片机Mega_的电子时钟设计 摘要】 Mega_是一款采用先进RISC精简指令,内置A/D的8位单片机,可支持低电压联机Flash和EEPROM写入功能;同时还支持Basic和C等高级语言编程. 用它设计电子时钟不仅成本低,硬件简单,而且很容易实现系统移植. 介绍了如何利用AVR系列单片机Mega_及__字符液晶来设计电子时钟的方法,同时给出了相应的电路原理及部分语言程序. 数字电路课程设计的心得体会 为什么没人啊?都在忙本科教育评估去了. 最核心的是时序逻辑电路的设计,要培养出良好的空间想象能力. 高性能的数字信号处理芯片,不用标准单片机和标准嵌入系统,那速度慢,要缴纳知识产权许可费用,发达国家都是专门有针对性设计的时序逻辑电路的独立设计. 例如上个世纪80年代的苹果牌个人计算机,就是用许多通用中小规模数字集成电路搭建的时序逻辑电路,国内以此仿照了中华学习机. 现在的CPU设计复杂,时序逻辑电路都集成在芯片里面,集成度高,要靠高等院校的教材和实验课程,实在没法设计出低端的CPU. 所以一般都是购买国外集成电路系统的构架,以此为基础设计,这就有知识产权的费用,到了流片的时候,人家要统计你的生产数量,要收费的.

这就是基础教育关系的国家安全的一个例子. 电子时钟课程设计报告 我们刚刚做完的课程设计. 给你啦__ 数字钟设计报告设计者: _2_3 _2_6 目录 1 设计目的 3 2 设计要求指标 3 2. 1 基本功能 3 2. 2 扩展功能 4 3. 方案论证与比较 4 4 总体框图设计 4 5 电路原理分析 4 5. 1数字钟的构成 4 5. 1. 1 分频器电路 5 5. 1. 2 时间计数器电路 5 5. 1. 3分频器电路 6 5. 1. 4振荡器电路 6 5. 1. 5数字时钟的计数显示电路 6 5. 2 校时电路 7 5. 3 整点报时电路 8 6系统仿真与调试 8 7. 结论 8 参考文献 9 实验作品附图 10 数字钟摘要: 数字钟是一种用数字电路技术实现时.分.秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用. 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路.

七彩数字时钟实训报告

七彩数字时钟实训报告 一、实训背景和目的 随着科技的不断发展,数字时钟已成为现代人生活中常见的物品之一。为了提高学生对数字时钟的了解和实际操作能力,本次实训选择了七彩数字时钟作为实训项目。通过自主设计和制作七彩数字时钟,学生可以学习到数字时钟的工作原理,掌握基本的电子元件和电路布局知识,培养动手能力和创新思维。 二、实训内容和步骤 1. 学习数字时钟的工作原理和基本电路知识。 2. 设计数字时钟的电路图和电路板布局。 3. 选择合适的电子元件,如LED灯、电容器、电阻等。 4. 开始制作数字时钟的电路板,包括焊接电子元件和连接电路。 5. 完成电路板的制作后,进行电路测试和调试,确保数字时钟的正常工作。 6. 设计数字时钟的外壳结构,选择适合的材料进行制作。 7. 完成数字时钟的组装工作,包括将电路板安装到外壳中,并连接电源和开关。 8. 进行数字时钟的功能测试,确保各个功能正常运行。 9. 进行最终的调试和优化,保证数字时钟的稳定性和可靠性。 三、实训成果和效果 通过本次实训,学生可以掌握数字时钟的工作原理和基本电路知识,

了解电子元件的选择和电路布局的设计方法,培养动手能力和创新思维。同时,学生还可以通过制作数字时钟,提高对电子元件的使用和操作能力,培养解决实际问题的能力。最终,学生可以获得一个自己设计和制作的七彩数字时钟,并展示给其他人。 四、实训心得和体会 本次实训让我对数字时钟有了更深入的了解,通过自己动手制作数字时钟,我不仅学到了电路的设计和制作方法,还锻炼了我的动手能力和创新思维。在制作过程中,我遇到了一些问题,但通过不断调试和优化,最终成功完成了数字时钟的制作。通过这次实训,我不仅提高了自己的技术水平,还增强了自信心和解决问题的能力。我相信这次实训对我的个人成长和未来的学习和工作都有很大的帮助。

数字电子时钟设计实验报告

数字电子时钟设计实验报告 一、设计指标 (1)数字电子时钟以一昼夜24小时为一个周期。即00时00分00秒至23时59分59秒。 (2)具有“时”、“分”、“秒”的数字显示。 二、设计总框图 三、电路设计原理 1、555脉冲产生电路设计 数字电子时钟具有标准的时间源,用它产生稳定的1Hz 脉冲信号,成为秒脉冲,因此采用555多谐振荡器来产生源脉冲。 设计要求:振荡频率为2000Hz ;占空比为50% 电路设计图如下:

GND 根据实验室提供的器件可得理想频率与占空比为: Hz C R R f o 19842 ln *)(1 221=+= %6022 1 2 1 =++= R R R R q 2、分频电路 该电路通过CD4518把555多谐振荡器产生的2000Hz 频率进行分频,最后得到稳定的秒脉冲信号。分频电路由四部分组成,一,二,三级为10分频,最后一级为2分频。 电路设计图如下: 3、计数电路 计数电路由CD4518与74SL00构成。在分频电路中得到的秒脉冲信号通过CD4518与74LS00组成的60进制计数器成为秒针,然后再通过一个60进制计数器成为分针,最后再通过一个24进制计数器成为时针。 电路设计图如下:

4显示电路 显示电路由74LS47与LED显示管构成。 电路设计图如下: 四、设计总电路图 见最后一页 五、设计过程中遇到的问题及解决办法 1、在设计电路时,对该电路所需芯片CD4518不了解。 通过书本和网络,我们了解到CD4518的功能 CD4518是一个双BCD同步加计数器,由两个相同的同步4级计数器组成。CD4518引脚功能(管脚功能)如下: 1CP、2CP:时钟输入端。 1CR、2CR:清除端。 1EN、2EN:计数允许控制端。 1Q0~1Q3:计数器输出端。 2Q0~2Q3:计数器输出端。 Vdd:正电源。Vss:地。

数字电子时钟实验报告

华大计科学院之宇文皓月创作 数字逻辑课程设计说明书 题目:多功能数字钟 专业:计算机科学与技术 班级:网络工程1班 姓名:刘群 学号: 1125111023 完成日期: 2013-9 一、设计题目与要求 设计题目:多功能数字钟 设计要求: 1.准确计时,以数字形式显示时、分、秒的时间。 2.小时的计时可以为“12翻1”或“23翻0”的形式。 3.可以进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对尺度频率�(1HZ)进行计数的计数电路。由于计数的起始时间不成能与尺度时间(如北京时间)一致,故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图 ⑴多谐振荡器电路

多谐振荡器电路给数字钟提供一个频率1Hz 的信号,可包管数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求,时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,而且为包管数码管正常工作提供足够的工作电流。 ⑷数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、R2,电容C1、C2 构成一个多谐振荡器,利用电容的充放电来调节输出V0,发生矩形脉冲波作为时钟信号,因为是数字钟,所以应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路,按时间进制从右到左构成

数字电子钟 实验报告

课题一数字电子钟 电子钟是一种高精度的计时工具,它采用了集成电路和石英技术,因此走时精度高,稳定性能好,使用方便,且不需要经常调校。电子钟根据显示方式不同,分为指针式电子钟和数字式电子钟。指针式电子钟采用机械传动带动指针显示;而数字式电子钟则是采用译码电路驱动数码显示器件,以数字形式显示。这些译码显示器件,利用集成技术可以做的非常小巧,也可以另加一定的驱动电路,推动霓红灯或白炽灯显示系统,制做成大型电子钟表。因此,数字式电子钟用途非常广泛。 一、课程设计(综合实验)的目的与要求 设计一个具有如下功能的数字电子钟: 1.基本功能 (1)能直接显示时、分、秒; (2)能正确计时,小时采用二十四进制,分和秒采用60进制; (3)有校时功能,手动调整时、分; 2.扩展功能 (1)能进行24小时整点报时,要求从59分50秒开始,每2秒钟响一声,共响5次; 每响一次声音持续0.5秒。 (2)要求只在6--22点之间每整点报时,23--5点之间整点不报时; (3)具有任意几点几分均可响铃的闹钟控制电路。响铃1分钟,可人为通过开关使响铃提前终止; 二、设计(实验)正文 数字电子钟实际上是一个对标准频率(1HZ)进行计数并通过数码管显示的计数电路,由于计数的起始时间与标准时间(如北京时间)不一致,故需要在电路上加一个校时电路。标准的1HZ时间信号必须准确稳定,可以使用555定时器设计1HZ的振荡电路。 时间计数电路由秒计数器(个位,十位)、分计数器(个位,十位)电路构成,秒个位和秒十位计数器、分个位和分十位计数器均为60进制计数器,而根据设计要求,时个位

和时十位计数器为24进制计数器。 1.系统原理框图如下: 2.1 分、秒计时器 分、秒计时器均为60进制计数器,当秒计时器接受到一个秒脉冲时,秒计数器个位开始从1计数到9,同时在个位计数产生进位时将进位接秒计数器的十位计数器CLK,此时秒显示器将显示00、01、02、...、59、00;每当秒计数器数到00时,就会产生一个脉冲输出送至分计时器,此时分计数器数值在原有基础上加1,其显示器将显示00、01、02、...、59、00,当分计数器产生进位时,将会在进位端产生高电平,进而触发电路,驱动蜂鸣器,起到整点报时的功能。 2.2 校时电路 校时电路采用标准时钟频率作为输入信号,当需要进行校正时间时,按住校正自锁开关,分计数器对标准频率进行计数,当校正到正确时间时,松开校时开关,校时结束。

数字钟的设计实验报告

一、设计目的 1.熟悉集成电路的引脚安排。 2.掌握各芯片的逻辑功能。 3.了解面包板结构及其接线方法。 4.了解数字钟的组成及工作原理。 5.熟悉数字钟的设计与制作。 二、设计指标 1. 时间以24小时为一个周期。 2. 显示时、分、秒。 3. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。 三、具体要求 1.画出电路原理图。 2.设计各个功能模块的电路图。 3.选择合适的元器件,设计、选择合适的输入信号和输出 方式,在确保电路正确的同时,输出信号和输入方式要便于电路的测试和故障排除,在线路板上接线验证、调试各个功能模块的电路。 4.对整个电路的元器件和布线进行合理布局,进行整个数

字时钟电路的接线测试。 四、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。 下图为数字钟的一般构成框图: (1)晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32 768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 (2)分频器电路

分频器电路将32768Hz的高频方波信号经32768(2的15次方)次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 (3)时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。(4)译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 (5)数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。 2.数字钟的各个单元电路工作原理 (1)晶体振荡器电路

数字钟电路设计与制作实验报告

数字钟电路设计与制作实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计 3、学习电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。可以根据兴趣增加其它与数字钟有关的功能。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、制板及实物制作 三、实验原理及电路设计: 1、设计方案与模块框图 利用74LS161和74LS00 ,555,数码管,开关来设计24小时数字时钟,构造它们主要实现时钟的显示,以及对时、分、秒进行调整,即实现调时的功能。其数字钟系统整体结构 ①74LS161和74LS00计数器:用来设计24小时

②开关与74LS00结合:用来校时,校分,校秒。 ③利用555振荡器:产生脉冲信号 ④数码管:用来显示时分秒。

2、各子模块电路设计及原理说明 74LS161 :十六进制的计数器,当秒到60时要进位当分上利用74LS161与74LS00的结合,当秒、分到60时对其进行清零,进位。当时24时,对其进行清零。当时分秒个位到9时,对其本位(时分秒)清零和进位。 74LS00 与开关:74LS00与开关的结合,以此来控制校对。 555振荡器:利用555设计一个振荡器产生一个脉冲信号,以此来控制信号的进行与停止、时间的校对。 数码管:显示时分秒。 3、仿真图及仿真方法说明 连好图,按一下仿真键,

①若能仿真且准确无误,会出现24小时的显示则成功了。 ②若不能仿真,数码管不会显示出来示数,或者显示紊乱,则失败,检查电路是否正确,有没有连错,少连错连,不断地改正,不断改进,直到可以仿真,可以显示无错。 ③对校时、校分、校秒:按一下开关,脉冲过来就可以,增加一个数,依次按键对其进行时分秒校对。 四、主要实验元件及器材清单:

数字电子钟_数电综合实验报告.

数字电子技术课程设计 实验报告 实习课题:数字电子时钟设计 学院:通信与信息工程学院班 专业:电子信息工程 学号: 姓名: 实习时间:2013-1-7 ~ 2013-1-9

一、方案论证选择 1.1设计目的 设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、仿广播电台正点报时、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。 1.2设计要求 1.用秒脉冲作信号源,构成数字钟,显示秒、分、时. 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应

的功能。具体用到了555震荡器,CD4518及与非门集成芯片等。该电路具有计时的功能。 设计要求 (1)、时钟的“时”要求用两位显示并用二十四小时制显示;(2)、时钟的“时”“分”、“秒”要求各用两位显示; 1.21 单元电路 数字电子钟的设计方法很多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等。 在本次设计,电路是由许多单元电路组成的,因此首先必须对各个单元电路进行设计。 1.22 主体电路部分 电路部分的电路主要由振荡电路、计数电路、显示电路这几大块组成。 一数字电子钟的基本组成框图

相关主题
文本预览
相关文档 最新文档