当前位置:文档之家› 伪随机码发生器设计

伪随机码发生器设计

伪随机码发生器设计
伪随机码发生器设计

伪随机码发生器设计

1 引言

随着科学技术的进步,现代战争样式向信息战形式发展。现代战争胜负对于信息获取的依赖程度前所未有的提高。在现代战争中,若己方的通讯交流方式早敌军破获,则地方将获取己方部队动向或实施信息干扰。将会使部队陷入极其危险地境地中。因此,信息战对通讯加密手段的要求极高。

伪随机序列(Pseudonoise Sequence)又称伪噪声或伪随机码,具有类似随机信号的一些统计特性,但又是有规律的,容易产生和复制的。最大长度线性移位寄存器序列(m序列)是保密通信中非常重要的一种伪随机序列,它具有随机性、规律性及较好的自相关和互相关性,而且密钥量很大。利用m序列加密数字信号,使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号。这样,通过对m序列的应用,将大大的提高通讯的保密程度和防窃取能力。这样的通讯手段被称为扩展频谱通信

扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据被伪随机编码也就是扩频序列调制,实现频谱扩展以后再在信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。在这其中,伪随机码发生器是十分重要的一环,是对信息加密的核心器件。m序列伪随机码发生器即使通过m序列的方式对信息数据编码。

本系统所设计的伪随机码发生器,产生m序列伪随机码。系统采用AT89S51单片机作为控制芯片,控制使用LCD12864显示处理器产生的m序列伪随机码,并且可通过按键对参数修改,设置初始码及m 序列长度。单片机根据设定的初始码及m序列长度,按照约定的逻辑运算关系,循环往复的产生0或者1。

2 发生器系统设计

2.1总体设计

系统分为信息处理、实时显示和按键修改共五大模块。

系统总体结构框图如图1所示:

图1 系统总体结构框图

如图所示,MCU 对信号进行处理,将所得数据送液晶屏显示。可以通过对按键的修改,设置系统参数,从而改变系统运行模式,以完成发生器功能。

2.2发生器硬件设计

2.2.1发生器主控芯片模块

发生器主控芯片采用AT89S51单片机,AT89S51是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash 只读程序存储器,器件采用ATMEL 公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash 存储单元,AT89S51在众多嵌入式控制应用系统中得到广泛应用。

AT89S51单片机管脚如图2所示:

VCC :电源电压输入端。

GND :电源地。

P0口:P0口为一个8位漏级开路双

向I/O 口,每脚可吸收8TTL 门电流。

图2 AT89S51单片机管脚分布图

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。P3口除了作为普通I/O口,还有第二功能:

P3.0 RXD(串行输入口);

P3.1 TXD(串行输出口);

P3.2 /INT0(外部中断0);

P3.3 /INT1(外部中断1);

P3.4 T0(T0定时器的外部计数输入);

P3.5 T1(T1定时器的外部计数输入);

P3.6 /WR(外部数据存储器的写选通);

P3.7 /RD(外部数据存储器的读选通);

P3口同时为闪烁编程和编程校验接收一些控制信号;

I/O口作为输入口时有两种工作方式,即所谓的读端口与读引脚。读端口时实际上并不从外部读入数据,而是把端口锁存器的内容读入到内部总线,经过某种运算或变换后再写回到端口锁存器。只有读端口时才真正地把外部的数据读入到内部总线。89C51的P0、P1、P2、P3口作为输入时都是准双向口。除了P1口外P0、P2、P3口都还有

其他的功能。

RST:复位输入端,高电平有效。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

ALE/PROG:地址锁存允许/编程脉冲信号端。当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的低位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH 地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

PSEN:外部程序存储器的选通信号,低电平有效。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

EA/VPP:外部程序存储器访问允许。当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。

XTAL1:片内振荡器反相放大器和时钟发生器的输入端。

XTAL2:片内振荡器反相放大器的输出端。

2.2.2 12864液晶显示屏模块

LCD12864是一种具有4位/8位并行、2线或3线串行多种接口方式,内部含有国标一级、二级简体中文字库的点阵图形液晶显示模块;其显示分辨率为128×64, 内置8192个16*16点汉字,和128个16*8点ASCII字符集.利用该模块灵活的接口方式和简单、方便的操作指令,可构成全中文人机交互图形界面。可以显示8×4行16×16点阵的汉字. 也可完成图形显示.低电压低功耗是其又一显著特点。

其基本特性为:低电源电压(VDD:+3.0--+5.5V);显示分辨率:128×64点;内置汉字字库,提供8192个16×16点阵汉字(简繁体可选);内置 128个16×8点阵字符;2MHZ时钟频率;显示方式:STN、半透、正显;驱动方式:1/32DUTY,1/5BIAS;视角方向:6点;背光方式:侧部高亮白色LED,功耗仅为普通LED的1/5—1/10;通讯方式:串行、并口可选;内置DC-DC转换电路,无需外加负压;无需片选信号,简化软件设计;工作温度: 0℃ - +55℃ ,存储温度: -20℃ - +60℃。

其管脚分布如表1所示:

表1 LCD12864管脚分布表

LCD12864与51单片机连接如图3所示:

图3 LCD12864与51单片机连接图

LCD12864的4、5、6和15四个管脚分别与单片机P1.3、P1.4、P1.5和P1.6连接。信号传输管脚与P0管脚顺次相连。

2.2.3 键盘模块

本发生器系统采用独立式键盘,独立式按键是直接用I/O口线构成单个按键电路,每个按键单独占用一根I/O线。

如图4所示是一个通过P0口连接3个按键。

图4 独立式按键接口图

如图所示,按键一段连接阻值为10k的上拉电阻与+5v电源相连,另一端接地。

独立式按键电路配置灵活,软件结构简单,适合接口空余较多时的情况。

2.3发生器软件设计

2.3.1发生器软件设计采用语言

发生器系统软件采用C语言编写,C语法限制不太严格,程序设计自由度大虽然C语言也是强类型语言,但它的语法比较灵活,允许程序编写者有较大的自由度。相对而言具有以下优点:

(1)简洁紧凑、灵活方便

C语言一共只有32个关键字,9种控制语句,程序书写自由,主要用小写字母表示。它把高级语言的基本结构和语句与低级语言的实用性结合起来。 C 语言可以象汇编语言一样对位、字节和地址进行操作, 而这三者是计算机最基本的工作单元。

(2)运算符丰富

C的运算符包含的范围很广泛,共有种34个运算符。C语言把括号、赋值、强制类型转换等都作为运算符处理。从而使C的运算类型极其丰富表达式类型多样化,灵活使用各种运算符可以实现在其它高级语言中难以实现的运算。

(3)数据结构丰富

C的数据类型有:整型、实型、字符型、数组类型、指针类型、结构体类型、共用体类型等。能用来实现各种复杂的数据类型的运算。并引入了指针概念,使程序效率更高。另外C语言具有强大的图形功

能, 支持多种显示器和驱动器。且计算功能、逻辑判断功能强大。

(4)C 是结构式语言

结构式语言的显著特点是代码及数据的分隔化,即程序的各个部分除了必要的信息交流外彼此独立。这种结构化方式可使程序层次清晰, 便于使用、维护以及调试。C 语言是以函数形式提供给用户的,这些函数可方便的调用,并具有多种循环、条件语句控制程序流向,从而使程序完全结构化。

2.3.2 软件设计流程

软件主程序流程图如图5所示,中断子程序流程图如图6所示。

图5 主程序流程图 图6 中断子程序流程图

如图5所示,程序经初始化后,系统开始工作。系统初始默认为m 值为7的伪随机码序列。当按键被按下,有产生一个高电平的信号时,程序执行中断子程序。在中断子程序中,由于三个按键接不同的口,系统即按不同的参数运行。

3 m 序列伪随机码理论设计

3.1伪随机码基本特性

伪随机码又称为随机序列,它是具有类似于随机序列基本特性的

确定序列。通常广泛应用于二进制序列。二进制独立随即序列在概率论中称为伯努利(Bernoulli )序列,它由两个(符号)0,1或1,-1组成,序列中不同位置的元素取值相互独立,0或1的出现概率相等,简称此种序列为随机序列。随机序列具有以下三个基本特性:

(1)在序列中“0”和“1”出现的相对概率各位1/2。

(2)序列中连0或连1称为游程,连0或连1的个数称为游程的长度。序列中长度为1的游程数占游程总数的1/2;长度为2的游程数占游程总数的1/4;长度为3的有成熟占游程总数的1/8;长度为n 的游程数占游程总数的n

2/1。次性质称为随机序列的游程特性。

(3)如果将给定的随机序列位移任何个元素,则所得到的序列和原序列对应的元素有一半相同, 一半不同。

如果确定序列近似满足以上三个特性,则称此确定序列为伪随机序列。

3.2 m 序列伪随机码性质

最长线性反馈移存器序列是最常见和最常用的一种伪随机序列,简称m 序列,它是由具有线性反馈的移位寄存器产生的周期最长的序列。

3.2.1 均衡特性(平衡性)

m 序列每一周期中1的个数比0的个数多1个。

由于12-=n p 为奇数,因而在每一周期中1的个数为122/)1(-=+n p 为偶

数,而0的个数为12)1(1-=--n p 为奇数。如,当15=p ,1的个数为8,0的个数为7。

当p 足够大时,在一个周期中1与0出现的次数基本相等。

3.2.2 游程特性(游程分布的随机性)

把一个序列中取值(1或0)相同连在一起的元素合称为一个游程。在一个游程中元素的个数称为游程长度。例如m 序列:

}{110010*********=k a …

在次m 序列一个周期的15个元素中,共有8个游程。

m 序列的一个周期(12-=n p )中,游程总数为12-n 。其中长度为1

的游程个数占游程总数的1/2;长度为2的游程个数占游程总数的1/4;长度为3的游程个数占游程总数的1/8;……一般地,长度为n 的游程个数占游程总数的k 2/1。

在长度为n 游程中,连1游程与连0游程各占一半,长为(1-n )的游程是连0游程,

长为n 的游程是连1游程。

3.2.3 移位相加特性(线性叠加性)

周期为p 的m 序列p m 和它的任意延迟位移后的序列r m 模二相加后所得序列仍是该m 序列的某个位移序列s m ,即:

s r p m m m =⊕

其中: s m 为p m 某次延迟位移后的序列。例如:110010*********=p m ,…

将p m 延迟两位后得r m :101100100011110

=r m ,…将p m 与r m 模二相加得:011110101100100=⊕=r p s m m m ,…

显然,r p s m m m ⊕=为p m 延迟11位后的序列。

3.2.4 自相关特性

m 序列具有非常重要的自相关特性。

在m 序列中,常常用+1代表0,用-1代表1。此时定义:设长为p 的m 序列,记作:

,,,321a a a …)12(-=n p p a

m 序列,,,321a a a …)12(-=n p p a 经过j 次位移后m 序列为:

,,,321+++j j j a a a …p j a +

其中i p i a a =+(以p 为周期),以上两序列的对应项相乘然后相加,利用所得的总和:

312111+++?+?+?j j j a a a a a a …∑=++=?+p i i

j i p j p a a a a 1

衡量一个m 序列与它的j 次移位序列之间的相关程度,并把它叫做m 序列(,,,321a a a …p a )的自相关函数。记作:

∑=+=p i i

j i a a j R 1)( (1)

令:4为m 序列与它坳次移位序列在一个周期中对应元素相同的数目;D 为m 序列与它的j 次移位序列在一个周期中对应元素不同的数目。当采用二进制数字0和1代表码元的可能取值时,公式(1)可表示为

p D A D A D A j R -=+-=)( (2)

或可以改写为:

[][]

p a a a a D A D A j R j i i j i i 的数目的数目10)(=⊕-=⊕=+-=++ (3) 由移位相加特性可知,j i i a a +⊕仍是m 序列中的元素;所以上式分

子就等于m 序列中一个周期中0的数目与1的数目之差。另外由m 序列的均衡性可知,在一个周期中0比1的个数少一个。

故得: )()(1为零时为非零整数j j p D A ???-=- 其自相关函数图如图7所示:

图7 m 序列自相关函数图

3.2.5 伪噪声特性

如果我们对一个正态分布白噪声取样, 若取样值为正,记为+1,取样值为负,记为-1,将每次取样所得极性排成序列,可以写成: …+,-1,+1,+1,+1,-1,-1,+1,-1,…

这是一个随机序列,它具有如下基本性质:

(1)序列中+1和-1出现的概率相等;

(2)序列中长度为1的游程约占1/2,长度为2的游程约占1/4,长度为3的游程约占1/8,. 一般地,长度为k 的游程约占k

2/1,而且+1,-1游程的数目各占一半;

(3)由于白噪声的功率谱为常数,因此其自相关函数为 一冲击函数)(τδ。

由此可见,m 序列的性质与随机噪声相似,因此称为伪随机序列。

3.3 m 序列的产生

下面以长度(周期)为7的m 序列为例说明m 序列的产生过程。 如图8所示,长度等于7的m 序列(简称7位m 序列)产生电路的逻辑框图。

在CP 时钟脉冲的作用下移存器的状态不断变化,每输出一位,经图8所示流程产生新的一位。表2为移存器状态变化表。

表2 状态变化表

假设移存器的初始状态为0,0,1210===a a a 。由表2可见,在第7个时钟脉冲时移存器的状态又回到初始状态,这说明此序列的长度等于7。如果移存器的初始状态为全0,即0,0,0210===a a a 。则此状态在时钟脉冲作用下不会改变。即全0初始状态下产生的序列为全0序列。非全0状态下,移存器状态变化的顺序由图9及图10表示: 1=

CP

图8 m 序列产生电路逻辑框图

图9 非全0状态下的状态转移图 图10 全0状态下的状态转移图

其中图3圆圈中的数字与210,,a a a 相对应。上述7位码m 序列产生器由三级移位寄存器组成,每一级移位寄存器有两个可能状态(0,1),

三级移位寄存器的所有可能状态为823=种:000,001,010,011,

100,101,110,111。其中全0状态不能进入m 序列产生器的移存器,否则将出现全0序列。由此可见,三级移存器组成的线性反馈电路所

产生的序列周期不会超过7123=-种。一般情况下,由n 级移存器组

成的线性反馈电路所产生的序列周期不会超过12-n 。如图11所示为

由n 级具有线性反馈逻辑移存器所组成的码序列发生器的框图:

图11 线性反馈移位寄存器序列发生器

图11中反馈输出n a 与移存器状态321---n n n a a a …0a 的关系可用式(4)表示:

1 5

2

4 7

n a =332211---⊕⊕n n n a c a c a c …0a c n ⊕ (4)

其中系数)1,0(∈k c ,,3,2,1=k …,n 。0=k c 时,图5中相应的反馈连接断开;1=k c 时,相应的反馈连接接通。

由此可见,系数321c c c …n c 的取值决定了反馈逻辑。反馈逻辑可由特征多项式)(x f 表示:

++++=332210)(x c x c x c c x f …n n x c + (5)

其中)1,0(∈k c ,,3,2,1=k …,n ;n 为寄存器级数。因为码序列发生器中反馈逻辑总是接入的,所以式(5)中10=c 。

例如:41)(x x x f ++=,表示有4=n ,有4级寄存器,且:

1,0,0,14321====c c c c 。

不同特征多项式对应不同的反馈逻辑,即对应不同的序列。由n

级移存器组成的线性反馈电路所产生的序列周期不会超过12-n ,其中

周期等于12-n 的序列即是m 序列(最长线性反馈移存器序列)。

构成m 序列产生器必须找到相应的本原多项式。经过前人的大量计算,已将常用的本原多项式列成表,如表3所示

表3 m 序列计数表

线性反馈逻辑用本原多项式表示称为代数表示法,此外,还可以用八进制数和二进制数表示。表3列出了这三种表示法。

同长度不同反馈系数的m 序列的数日等于同幂次的本原多项式的数目。可以证明:n 幂次本原多项式的数目为:

n N n s )12(-Φ= (6)

其中:)(x Φ为欧拉函数,它等于:小于x 的并与x 互质的数的个数(包括l 在内)。例如,15=x ,则小于15并与l5互质的数为:1,2,4,7,8,11,13,14,共8个,则8)15(=Φ;24/8==s N 。

3.4 m 序列伪随机码在军事中的应用

3.4.1 m 序列在扩频通信中的应用

扩频通信,即扩展频谱通信(Spread Spectrum Communication),它与光纤通信、卫星通信,一同被誉为进入信息时代的三大高技术通信传输方式。具有巨大的发展前景。

扩展频谱通信(Spread Spectrum Communication )的原理发表的很早,它是将待传送的信息数据被伪随机编码也就是扩频序列调制,实现频谱扩展以后再在信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。从这里我们可以看出,扩展频谱通信(以下简称扩频通信)作为一种新的通信方式与一般的常见的窄带通信方式是不同的,它们刚好相反,它是在发送端经过扩展频谱以后,在信道中进行宽带传输,然后在接收端进行相关处理以及解扩后恢复成窄带后解调数据。恢复出原始信息数据。因此,扩频通信具有伪随机编码调制和相关处理两个特点。也正是这两个特点,使得扩频通信方式有许多优点:如抗干扰、抗噪音、抗多径衰落、具有保密性、功率谱密度低,具有隐蔽性和低的截获概率、可多址复用和任意选址、可以用于高精度测量等。

正是由于扩频通信方式具有上述的优点,所以扩频通信虽然是一

种新型的通信方式,但是引起了人们的广泛注意,得到了迅速的发展和广泛的应用。

从扩频通信的应用发展来看,真正开始研究它的应用的是在上个世纪50年代中期美国开始的。刚开始一直用于军事通信领域,因为在军事通信中,一般通信方式在强干扰存在的情况下,很难准确的检测出发送来的信号,由于扩频通信具有很好的保密信和抗干扰性,所以首先开始了在军事通信领域的应用。成为扩频通信研究发展的开端,从此,军事通信机关对军事通信、空间探测、卫星侦察等方面广泛应用扩频通信技术。

3.4.2通讯加密

利用m序列加密的码分多址(CDMA)通信码分多址具有如下几个优点:

(1)抗干扰能力强

在地址码相关特性较理想和频谱扩展程度较高的条件下,码分多址具有很强的抑制干扰能力,直接表现在扩频解调器的输出信噪比相对于输入信噪比要高得多。

(2)较好的保密通信能力

由于采用了扩频调制,在信道中传输所需的载波与噪声的功率比很低(约为-20dB),信号完全隐蔽在噪声、干扰之中,不易被发现;用独特的地址码进行扩频调制相当于一次加密,增加了破译的难度。

(3) 实现多址连接较灵活方便

所以码分多址方式也以很快的增长速度在地面的移动通信系统

和无线接入网中应用,即将投入商用的第三代移动通信就是采用了码分多址方式。

利用m序列加密的码分多址通信码的流程图如图12所示:

图12 m序列加密码分多址通信码流程图

由图所示,信号源产生信号1X经m序列发生器信号Y调制,得到一个加密信号E。该信号经发送,通过信道被用户接受。用户所得加密信号E按照相同的m序列发生器信号Y解调,将信号源信号1X还原,使之能被用户使用。

4使用Proteus软件对伪随机码发生器仿真

4.1Proteus软件介绍

Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。Proteus 是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台。

Proteus软件的主要功能。

(1)原理布图;

(2)PCB自动或人工布线;

(3)SPICE电路仿真,用户甚至可以实时采用诸如RAM,ROM,键盘,马达,LED,LCD,AD/DA,部分SPI器件,部分IIC器件。除此以外还可仿真处理器及其外围电路,可以仿真51系列、AVR、PIC、ARM、等常用主流单片机。还可以直接在基于原理图的虚拟原型上编程,再配合显示及输出,能看到运行后输入输出的效果。配合系统配置的虚拟逻辑分析仪、示波器等,Proteus建立了完备的电子设计开发环境。

在Proteus绘制好原理图后,调入已编译好的目标代码文件:*.HEX,可以在Proteus的原理图中看到模拟的实物运行状态和过程。这在相当程度上替代了传统的单片机实验的功能,例:元器件选择、电路连接、电路检测、电路修改、软件调试、运行结果等。

4.2电路图绘制

在Protues中,根据系统仿真所需功能,绘制电路图如图13所示。

伪随机码生成器

M序列发生器 M序列是最常用的一种伪随机序列,是一种线性反馈移位寄存器序列的简称。带线性反馈逻辑的移位寄存器设定各级寄存器的初试状态后,在时钟的触发下,每次移位后各级寄存器状态都会发生变化。其中一级寄存器(通常为末级)的输出,随着移位寄存器时钟节拍的推移会产生下一个序列,称为移位寄存器序列。他是一种周期序列,周期与移位寄存器的级数和反馈逻辑有关。 以4级移位寄存器为例,线性反馈结构如下图: 4级以为寄存器反馈图 其中a4=a1+a0

信号a4:a0禁止出现全0,否则将会出现全0,序列不变化。实验仿真 Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity random_4 is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC;

din : in STD_LOGIC_VECTOR (3 downto 0); dout : out STD_LOGIC_VECTOR (3 downto 0); load : in STD_LOGIC); end random_4; architecture Behavioral of random_4 is signal rfsr :std_logic_vector(3 downto 0); --signal temp:std_logic; begin process(clk,reset,load,din) begin if (reset ='1') then rfsr <=(others =>'0'); elsif (clk' event and clk='1') then if(load ='1') then ----load =1 rfsr<= din; else rfsr(3) <= rfsr(0) xor rfsr(1); rfsr(2 downto 0) <= rfsr(3 downto 1); end if; end if; end process; ------signal rename----

利用Labview实现任意波形发生器的设计

沈阳理工大学课程设计专用纸No I

1 引言 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

模电课程设计-波形发生器

一、设计题目 波形发生电路 二、设计任务和要求 要求:设计并制作用分立元件和集成运算放大器组成的能产生方波、三角波和正弦波的波形发生器。 指标:输出频率分别为:102H Z、103H Z和104Hz;输出电压峰峰值V PP≥20V 三、原理电路设计: (1)方案的提出 方案一: ①先由文氏桥振荡产生一个正弦波信号(右图) ②把文氏桥产生的正弦波通过一个过零比较器 从而把正弦波转换成方波。 ③把方波信号通过一个积分器。转换成三角波。 方案二: ①由比较器和积分器构成方波三角波产生电路。(下图) ②然后通过低通滤波把三角波转换成正弦波信号。 方案三: ①由比较器和积分器构成方波三角波产生电路。(电路图与方案二相同) ②用折线法把三角波转换成正弦波。(下图)

(2)方案的比较与确定 方案一: 文氏桥的振荡原理:正反馈RC网络与反馈支路构成桥式反馈电路。当R1=R2、时,F=1/3、Au=3。然而,起振条件为Au略大于3。实际操作时,C1=C2。即f=f 如果要满足振荡条件R4/R3=2时,起振很慢。如果R4/R3大于2时,正弦波信号顶部失真。调试困难。RC串、并联选频电路的幅频特性不对称,且选择性较差。因此放弃方案一。 方案二: 把滞回比较器和积分比较器首尾相接形成正反馈闭环系统,就构成三角波发生器和方波发生器。比较器输出的风波经积分可得到三角波、三角波又触发比较器自动翻转形成方波,这样即可构成三角波和方波发生器。 通过低通滤波把三角波转换成正弦波是在三角波电压为固定频率或频率变化范围很小的情况下使用。然而,指标要求输出频率分别为102H Z、103H Z和104Hz。因此不满足使用低通滤波的条件。放弃方案二。 方案三: 方波三角波发生器原理如同方案二。 比较三角波和正弦波的波形可以发现,在正弦波从零逐渐增大到峰值的过程中,与三角波的差别越来越大;即零附近的差别最小,峰值附近差别最大。因此,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 (3)单元电路设计

伪随机码发生器设计

伪随机码发生器设计 1 引言 随着科学技术的进步,现代战争样式向信息战形式发展。现代战争胜负对于信息获取的依赖程度前所未有的提高。在现代战争中,若己方的通讯交流方式早敌军破获,则地方将获取己方部队动向或实施信息干扰。将会使部队陷入极其危险地境地中。因此,信息战对通讯加密手段的要求极高。 伪随机序列(Pseudonoise Sequence)又称伪噪声或伪随机码,具有类似随机信号的一些统计特性,但又是有规律的,容易产生和复制的。最大长度线性移位寄存器序列(m序列)是保密通信中非常重要的一种伪随机序列,它具有随机性、规律性及较好的自相关和互相关性,而且密钥量很大。利用m序列加密数字信号,使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号。这样,通过对m序列的应用,将大大的提高通讯的保密程度和防窃取能力。这样的通讯手段被称为扩展频谱通信 扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据被伪随机编码也就是扩频序列调制,实现频谱扩展以后再在信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。在这其中,伪随机码发生器是十分重要的一环,是对信息加密的核心器件。m序列伪随机码发生器即使通过m序列的方式对信息数据编码。 本系统所设计的伪随机码发生器,产生m序列伪随机码。系统采用AT89S51单片机作为控制芯片,控制使用LCD12864显示处理器产生的m序列伪随机码,并且可通过按键对参数修改,设置初始码及m 序列长度。单片机根据设定的初始码及m序列长度,按照约定的逻辑运算关系,循环往复的产生0或者1。 2 发生器系统设计 2.1总体设计 系统分为信息处理、实时显示和按键修改共五大模块。 系统总体结构框图如图1所示:

运放组成的波形发生器电路设计

运放组成的波形发生器电 路设计 This model paper was revised by the Standardization Office on December 10, 2020

运放组成的波形发生器电路设计、装配与调试 1. 运放组成的波形发生器的单元电路 运放的二个应用:⑴ 线性应用-RC 正弦波振荡器 ⑵ 非线性应用-滞回比较器 ⑴ RC 正弦波振荡器 RC 桥式振荡电路如图3-9所示。 图3-9 RC 桥式振荡电路 RC 桥式振荡电路由二部分组成: ① 同相放大器,如图3-9(a )所示。 ② RC 串并联网络,如图3-9(b )所示。 或图3-9(c )所示,RC 串并联网络与同相放大器反馈支路组成桥式电路。 同相放大器的输出电压uo 作为RC 串并联网络的输入电压,而将RC 串并联网络的输出电压作为放大器的输入电压,当f=f 0时, RC 串并联网络的相位移为零,放大器是同相放大器,电路的总相位移是零,满足相位平衡条件,而对于其他频率的信号,RC 串并联网络的相位移不为零,不满足相位平衡条件。由于RC 串并联网络在 f=f 0 时的传输系数F =1/3,因此要求放大器的总电压增益Au 应大于3,这对于集成运放组成的同相放大器来说是很容易满足的。由R 1、R f 、V 1、V 2及R 2构成负反馈支路,它与集成运放形成了同相输入比例运算放大器。 只要适当选择R f 与R 1的比值, 就能实现Au>3的要求。其中,V1、V2和R 2是实现自动稳幅的限幅电路。 1 1R R A f u + =RC f π210=

① 振荡原理 RC 桥式振荡电路如图3-9所示。根据自激振荡的条件,φ=φa+Φf=2πn ,其中RC 串并联网络作为反馈电路,当f=fo 时,φf=0°,所以放大器的相移应为φa=0°,即可用一个同相输入的运算放大器组成。又因为当f=fo 时,F=1/3,所以放大电路的放大倍数A ≥3。起振时A>3,起振后若只依靠晶体管的非线性来稳幅,波形顶部容易失真。为了改善输出波形,通常引入负反馈电路。其振荡频率由RC 串并联网络决定,图3-9(c )为RC 桥式振荡电路的桥式画法。RC 串并联网络及负反馈电路中的Rf+'2 R 、R1正好构成电桥四臂,这就是桥式振荡器名称的由来。在RC 串并联网络中, 取C C C R R R ====2121, 当虚部为零,即)/(11221C R C R ωω=时,3/1=F ② 稳幅原理 V 1、V 2和R 2是实现自动稳幅的限幅电路。V 1、V 2仅一只导通,导通的二极管和R 2并联等 效电阻为'2R 。根据同相放大器的放大倍数计算公式:1 ' 2 1R R R A f ++=可知输出电压幅度与 '2 R 有关。 )1()1(1 11111// 1 2 121211222211 222 2122 22 2221 11C R C R j R R C C C R j R C j R C R j R Z Z Z U U F C R j R C j R Z C j R Z o f ωωωωωωωω-+++ =++ ++= +==+= =+=?? ?

模电课程设计(波形发生器)

课程设计 课程名称模拟电子技术基础课程设计题目名称波形发生电路_ 学生学院物理与光电工程学院 专业班级电子科学与技术(5)班 学号 学生姓名 指导教师 2013-12-10

一、题目: 波形发生电路 二、设计任务与技术指标 要求:设计并制作用分立元件和集成运算放大器组成的能产生正弦波、方波和三 角波的波形发生器。 基本指标: 1、输出的各种波形基本不失真; 2、频率范围为50H Z ~20KH Z ,连续可调; 3、方波和正弦波的电压峰峰值V PP >10V ,三角波的V PP >20V 。 三、电路设计及其原理 1) 方案的提出 方案一 ①用RC 桥式振荡器产生正弦波。 ②正弦波经过一个过零比较器产生方波。 ③方波通过积分运算产生三角波。 方案二 ①由滞回比较器和积分运算构成方波和三角波发生电路。(如图1所示) ②再由低通滤波把三角波转成正弦波。 方案三 ①由滞回比较器和积分运算构成方波和三角波发生电路。(同方案二) ②利用折线法把三角波转换成正弦波。(如图2所示) 图1 图3 图2

2)方案的比较 方案一中以RC串并联网络为选频网络和正反馈网络、并引入电压串联负反馈,从而产生正弦波。为了稳定正弦波幅值,一般要在反馈电阻一边串联一对反向的并联二极管,但这样会使正弦波出现交越失真。R1/R2=2时,起振很慢; R1/R2>2时,正弦波会顶部失真。调试困难。还有,RC桥式振荡器对同轴电位器的精确度要求较高,否则,正弦波很容易失真。 方案二的低通滤波产生正弦波适宜在三角波频率固定或变化小时使用,而本次课程设计要求频率50Hz-20KHz,显然不适合。 方案三滞回比较器和积分比较器首尾相接形成正反馈闭环系统,这样就形成方波发生器和三角波发生器。滞回比较器输出的方波经积分产生三角波,三角波又触发比较器自动翻转成方波。 另外,根据正弦波与三角波的差别,将三角波分成若干段,按不同的比例衰减,就可以得到近似与正弦波的折线化波形。而且折线法不受频率范围的限制,便于集成化。虽然反馈网络中电阻的匹配困难,但可以通过理论计算出每个电阻阻值后再调试。这样可以省下很多功夫。 综合以上三种方案的优缺点,最终选择方案三来完成本次课程设计。 3)单元电路设计 方波---三角波产生电路

实验一 伪随机码发生器实验

实验一伪随机码发生器实验 电科1103 杨帆 3110104337 一、实验目的 1、掌握伪随机码的特性。 2、掌握不同周期伪随机码设计。 3、用基本元件库和74LS系列元件库设计伪随机码。 4、了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、熟悉通信原理实验板的结构。 二、实验仪器 1、计算机一台 2、通信基础实验箱一台 3、100MHz示波器一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源; 扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方 面: 1、由n级移位寄存器产生的伪随机序列,其周期为-1; 2、信码中“0”、“1”出现次数大致相等,“1”码只比“0”码多一个; 3、在周期内共有-1游程,长度为i 的游程出现次数比长度为i+1的游程出现 次数多一倍; 例如:四级伪码产生的本原多项式为X 4 +X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。

在MAXPLUS II 环境下输入上述电路,其中:dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

课程设计——波形发生器

1.概述 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课程采用采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。

2.设计方案 采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。文氏桥振荡器产生正弦波输出,其特点是采用RC串并联网络作为选频和反馈网络,其振荡频率f=1/2πRC.改变RC的值,可得到不同的频率正弦波信号输出。用集成运放构成电压比较器,将正弦波变换成方

3. 设计原理 3.1正弦波产生电路 正弦波由RC 桥式振荡电路(如图3-1所示),即文氏桥振荡电路产生。文氏桥振荡器具有电路简单、易起振、频率可调等特点而大量应用于低频振荡电路。正弦波振荡电路由一个放大器和一个带有选频功能的正反馈网络组成。其振荡平衡的条件是AF =1以及ψa+ψf=2n π。其中A 为放大电路的放大倍数,F 为反馈系数。振荡开始时,信号非常弱,为了使振荡建立起来,应该使AF 略大于1。 放大电路应具有尽可能大的输入电阻和尽可能小的输出电阻以减少放大电路对选频特性的影响,使振荡频率几乎仅决定于选频网络,因此通常选用引入电压串联负反馈的放大电路。正反馈网络的反馈电压U f 是同相比例运算电路的输入电压,因而要把同相比例运算电路作为整体看成电路放大电路,它的比例系数是电压放大倍数,根据起振条件和幅值平衡条件有 31 1≥+ =R Rf Av (Rf=R2+R1//D1//D2) 且振荡产生正弦波频率 Rc f π210= 图中D1、D2的作用是,当Vo1幅值很小时,二极管D1、D2接近开路,近似有Rf =9.1K +2.7K =11.8K ,,Av=1+Rf/R1=3.3>=3,有利于起振;反之当Vo 的幅值较大时,D1或D2导通,Rf 减小,Av 随之下降,Vo1幅值趋于稳定。

基于MATLAB的伪随机序列发生器的设计

通信工程专业 计算机课程设计 题目基于MATLAB的伪随机序列发生器的设计 学生姓名学号 所在院(系) 专业班级 指导教师 完成地点 2013年 11 月 12 日

计算机课程设计任务书 院(系) 专业班级学生姓名 一、课程设计题目基于matlab的伪随机序列发生器的设计 二、课程设计工作自 2013 年 10 月 27 日起至 2013 年 11 月 22 日止 三、课程设计进行地点: 四、课程设计的内容要求: 1、要求完成七级m 序列发生器的设计(本原多项式自定); 2、要求完成五级gold 序列发生器的设计(本原多项式自定); 3、采用matlab实现,并对其进行调试运行; 4、要求能清楚观察到稳定的序列。 指导教师系(教研室) 通信工程系 接受任务开始执行日期 2013年10月27日学生签名 基于matlab的伪随机序列发生器的设计

[摘要]伪随机序列码越来越受到人们的重视,被广泛用于导弹、卫星、飞船轨道测量和跟踪、雷达、导航、移动通信、保密通信和通信系统性能的测量以及数字信息处理系统中。本文主是对基于matlab的伪随机序列发生器的设计,及其利用matlab软件对其进行仿真和利用simulink对其仿真性能的研究,主要阐述了扩频系统中m序列和gold序列的产生。在第一部分中介绍了课题研究的背景,第二部分中介绍了扩频系统的相关知识,第三部分介绍了m序列和Gold序列产生的原理和方法,第四部分利用matlab和simulink对其进行仿真。 [关键词]伪随机序列 m序列移位寄存器 Design of the pseudo-random sequence generator based on matlab

扩频系统使用的伪随机码PN码

第六章 扩频系统使用的伪随机码(PN 码) 在扩展频谱系统中,常使用伪随机码来扩展频谱。伪随机码的特性,如编码类型,长度,速度等在很大程度上决定了扩频系统的性能,如抗干扰能力,多址能力,码捕获时间。 6.1 移位寄存器序列 移位寄存器序列是指由移位寄存器输出的由“1”和“0”构成的序列。相应的时间波形是指由“1”和“-1”构成的时间函数,如图6-1所示。 图6-1 (a )移位寄存器序列 (b )移位寄存器波形 移位寄存器序列的产生如图6-2 。主要由移位寄存器和反馈函数构成。移位寄存 器内容为),,,(21n x x x f 或1,反馈函数的输入端通过系数与移位寄存器的各级状态相联()(1)(0通或断=i c )输出通过反馈线作为1x 的输入。移位寄存器在时钟的作用下把反馈函数的输出存入1x ,在下一个时钟周期又把新的反馈函数的输出存入1x 而把原1x 的内容移入2x ,依次循环下去,n x 不断输出。 根据反馈函数对移位寄存器序列产生器分类: (1) 线性反馈移位寄存器序列产生器(LFSRSG ):如果),,(1n x x f 为n x x ,,1 的模2加。 (2) 非线性反馈移位寄存器序列产生器(NLFSRSG ):如果),,(1n x x f 不是n x x ,,1 的 模2加。 例1: LFSRSG :n=4,4314321),,,(x x x x x x x f ⊕⊕= (a) 图6-2 移位寄存器序列生成器

共16个不同状态,1111,0000为死态,每个状态只来自一个前置态。 例2: LFSRSG :n=4,4143214321),,,(,1,0,0,1x x x x x x f c c c c ⊕===== 设初态为:1,1,1,14321====x x x x ,则移位寄存器状态转移图如下:

专业实验类:伪随机信号发生器

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验二伪随机信号发生器 一、实验原理 实验要求设计一个伪随机信号发生器,什么是伪随机信号发生器?如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。因此可以说,伪随机序列是具有某种随机特性的确定的序列。它们是由移位寄存器产生确定序列,然而他们却具有某种随机序列的随机特性。因为同样具有随机特性,无法从一个已经产生的序列的特性中判断是真随机序列还是伪随机序列,只能根据序列的产生办法来判断。伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。这些特性使得伪随机序列得到了广泛的应用,特别是在CDMA系统中作为扩频码已成为CDMA技术中的关键问题。特性为序列中两种元素出现的个数大致相等;如果把n个元素连续出现叫做一个长度为n的元素游程,则序列中长度为n的元素游程比长度为n+1的元素游程多一倍;序列有类似白噪声的自相关函数。 实验指导书上已经给出一个4位伪随机信号发生器的原理图,如图1所示,一个4位的移位寄存器,第1级和第4级的输出信号通过一个异或门反馈到第1级的输入。随着连续的时钟周期信号,可以生成15个不同的测试向量。 图1 伪随机二进制序列产生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“伪随机信号发生器”。首先设计一个4位伪随机信号发生器,按照图1所示连接电路即可。然后,扩展到多位。

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

波形发生器课程设计

1.设计题目:波形发生电路 2.设计任务和要求: 要求:设计并用分立元件和集成运算放大器制作能产生方波和三角波波形的波形发生器。 基本指标:输出频率分别为:102H Z 、103H Z ;输出电压峰峰值V PP ≥20V 3.整体电路设计 1)信号发生器: 信号发生器又称信号源或振荡器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。各种波形曲线均可以用三角函数方程式来表示,如三角波、锯齿波、矩形波(含方波)、正弦波。通过模拟电子技术设计的波形发生器是一个不需要外加输入信号,靠自身振荡产生信号的电路。2)电路设计: 整体电路由RC振荡电路,反相输入的滞回比较器和积分电路组成。 理由:a)矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分; b)产生振荡,就是要求输出的两种状态自动地相互转换,所以电路中必须引入反馈; c)输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间。 RC振荡电路:即作为延迟环节,又作为反馈电路,通过RC充放电实现输出状态的自动转换。 反相输入的滞回比较器:矩形波产生的重要组成部分。 积分电路:将方波变为三角波。 3)整体电路框图: 为实现方波,三角波的输出,先通过 RC振荡电路,反相输入的滞回比较器得到方波,方波的输出,是三角波的输入信号。三角波进入积分电路,得出的波形为所求的三角波。其电路的整体电路框图如图1所示:

图1 4)单元电路设计及元器件选择 a ) 方波产生电路 根据本实验的设计电路产生振荡,通过RC 电路和滞回比较器时将产生幅值约为12V 的方波,因为稳压管选择1N4742A (约12V )。电压比较电路用于比较模拟输入电压与设定参考电压的大小关系,比较的结果决定输出是高电平还是低电平。滞回比较器主要用来将信号与零电位进行比较,以决定输出电压。图3为一种滞回电压比较器电路,双稳压管用于输出电压限幅,R 3起限流作用,R 2和R 1构成正反馈,运算放大器当u p >u n 时工作在正饱和区,而当u n >u p 时工作在负饱和区。从电路结构可知,当输入电压u in 小于某一负值电压时,输出电压u o = -U Z ;当输入电压u in 大于某一电压时,u o = +U Z 。运算放大器在两个饱和区翻转时u p =u n =0,由此可确定出翻转时的输入电压。u p 用u in 和u o 表示,有 2 1o 1in 22 1o 2 in 1p 111 1R R u R u R R R u R u R u ++= ++= 根据翻转条件,令上式右方为零,得此时的输入电压 th Z 2 1 o 21in U U R R u R R u ==-= U th 称为阈值电压。滞回电压比较器的直流传递特性如图4所示。设输入电压初始值小于-U th ,此时u o = -U Z ;增大u in ,当u in =U th 时,运放输出状态翻转,进入正饱和区。如果初始时刻运放工作在正饱和区,减小u in ,当u in = -U th 时,运放则开始进入负饱和区。 RC 振荡电路 积分电路 方波 三角波 反相输入的滞回比较 生成 生成 输入 积分电路 输入

实验一伪随机码发生器实验

实验一 伪随机码发生器实验 一、实验目的 1、 掌握伪随机码的特性。 2、 掌握不同周期伪随机码设计。 3、 用基本元件库和74LS系列元件库设计伪随机码。 4、 了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、 学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、 熟悉通信原理实验板的结构。 二、实验仪器 1、 计算机 一台 2、 通信基础实验箱 一台 3、 100MHz 示波器 一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源;扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方面: 1、 由n 级移位寄存器产生的伪随机序列,其周期为-1; n 2 2、 信码中“0”、“1” 出现次数大致相等,“1”码只比“0”码多一个; 3、 在周期内共有-1游程,长度为 i 的游程出现次数比长度为 i+1的 游程出现 次数多一倍; n 24、 具有类似白噪声的自相关函数,其自相关函数为: ()() ?? ? ?≤≤=??=2 210 12/11n n τττρ 其中n 是伪随机序列的寄存器级数。 例如:四级伪码产生的本原多项式为X 4+X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 相应的波形图如图1-1所示:

图1-1 四级伪随机序列波形图 用4个D 触发器和一个异或门构成的伪码发生器具有以下特性: 1、 周期为24-1=15; 2、 在周期内“0”出现24 -1-1=7次,“1”出现24 -1=8次; 3、 周期内共有24 -1 =8个游程; 4、 具有双值自相关特性,其自相关系数为: ????≤≤??==2 21)12(10 14 4τ / τ ρ(τ) 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。 图1-2 四级伪随机码电路原理图 在MAXPLUS II 环境下输入上述电路,其中: dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚 1.2 实验电路编译及FPGA 引脚定义 完成原理图输入后按以下步骤进行编译: (1) 在Assign Device 菜单选择器件MAX7128SLC84。

波形发生器课程设计报告

课程设计报告书 波形发生器 学院电子与信息学院 专业班级 学生姓名 学生学号 指导教师 课程编号 课程学分1 起始日期2017 波形发生器 一、选题背景 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、矩形波的函数波形发生器。 二、方案论证 1、设计题目要求 1.1、功能要求 同时三通道输出,采用正弦波、矩形波、三角波的级联结构; 电源由稳压电源供给; 1.2、指标要求: 输出电压要求正弦波Vp-p>10V、矩形波Vp-p>10V、三角波Vp-p>4V; 输出波形频率范围为100Hz—2kHz;

通带内输出电压幅度峰峰值误差不大于5%; 矩形波占空比可调整,调整范围:10%~90%; 2、总体设计方案 2.1设计思路 根据模拟电子技术基础课程,可通过RC桥式正弦波振荡电路产生正弦波,通过比较器变换成矩形波,再通过积分电路变换成三角波;或者同过滞回比较器和RC电路组成的矩形波发生电路产生矩形波,通过积分电路变换成三角波,再用滤波法变换成正弦波。 2.2设计方案 满足上述设计功能可以实施的方案很多,现提出以下几种方案: 2.2.1方案一 ①原理框图 图2.2.1方案一原理框图 ②基本原理 通过RC桥式正弦波振荡电路,产生正弦波,改变电阻R和电容C的值实现频率可调;通过单限比较器,产生矩形波,接入参考电压,通过改变与参考电压串联电阻的阻值,实现占空比可调;通过积分电路,产生三角波。 2.2.2方案二 ①原理框图

伪随机序列发生器

伪随机序列发生器 一、实验目的: 理解伪随机序列发生器的工作原理以及实现方法,掌握MATLAB\DSP BUILDER设计的基本步骤和方法。 二、实验条件: 1. 安装WindowsXP系统的PC机; 2. 安装QuartusII6.0 EDA软件; 的序列发生器,并通 ⒈ ⒉ ⒊⒋⒌⒍⒎⒏ ⒐ ⒑ ⒒⒓⒔⒕⒖⒗ 四、实验原理: 对于数字信号传输系统,传送的数字基带信号(一般是一个数字序列),由于载有信息,在时间上往往是不平均的(比如数字化的语音信号),对应的数字序列编码的特性,不利于数字信号的传输。对此,可以通过对数字基带信号预先进行“随机化”(加扰)处理,使得信号频谱在通带内平均化,改善数字信号的传输;然后在接受端进行解扰操作,恢复到原来的信号。伪随机序列广泛应用与这类加扰与解扰操作中。我们下面用DSP BUILDER来构建一中伪随机序列发生器——m序列发生器,这是一种很常见的伪随机序列发生器,可以由线性反馈器件来产生,如下图:

其特征多项式为: ()∑==n i i i x C x F 0 注:其中的乘法和加法运算都是模二运算,即逻辑与和逻辑或。 可以证明,对于一个n 次多项式,与其对应的随机序列的周期为。 12?n 接下来我们以为例,利用DSP BUILDER 构建这样一个伪随机序列发生器。 125++x x 开Simulink 浏览器。 Simulink 我们可以看到在Simulink 工作库中所安装的Altera DSP Builder 库。 2. 点击Simulink 的菜单File\New\Model 菜单项,新建一个空的模型文件。

毕业设计169邵阳学院基于模拟电路的波形发生器设计

前言 波形发生器是一种常用的信号源,广泛用于科学研究、生产实践和教学实践等领域。如设计和测试、汽车制造、生物医药、传感器仿真、制造模型等。 传统的信号发生器采用模拟电子技术,由分立元件构成振荡电路和整形电路,产生各种波形。它在电子信息、通信、工业等领域曾发挥了很大的作用。但是采用这种技术的波形发生器电路结构复杂、体积庞大、稳定度和准确度较差,而且仅能产生正弦波、方波、三角波等几种简单波形,难以产生较为复杂的波形信号。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器分辨率较低,频率切换速度较慢。 从2007年2月到2007年4月,在系统研究国内外波形发生器的基础上提出了基于Matlab和FPGA技术的波形发生器,在FPGA内开辟高速存储器ROM做查询表,通过Matlab获得波形数据存入ROM中,波形数据不断地,有序地从ROM 中送到高速D/A转换器对存储器的波形数据进行转换。因此只要改变FPGA中查找表数据就可以产生任意波形,因此该研究方法可以产生任意波形。 随着我国四个现代化和经济发展,我国在科技和生产各领域都取得了飞速的发展和进步,同时这也对相应的测试仪器和测试手段提出了更高的要求,而波形发生器已成为测试仪器中至关重要的一类,因此在国内发展波形发生器具有重大意义和实际价值。例如,它能模拟编码雷达信号、潜水艇特征信号、磁盘数据信号、机械振动瞬变过程、电视信号以及神经脉冲之类的波形,也能重演由数字示波器捕获的波形等。 在本次设计中,我通过Matlab获取了波形数据,在FPGA中开辟了ROM区域,在MaxplusⅡ开发平台上,实现了电路的VHDL硬件描述和仿真,电路功能在EDA平台上得到了验证,但由于我的能力和水平有限,论文中肯定会有不妥之处和错误,恳请老师和同学提出批评和改进意见,在此表示由衷的感谢。

相关主题
文本预览
相关文档 最新文档