当前位置:文档之家› 专业实验类:伪随机信号发生器

专业实验类:伪随机信号发生器

专业实验类:伪随机信号发生器
专业实验类:伪随机信号发生器

H a r b i n I n s t i t u t e o f T e c h n o l o g y

EDA技术高级应用

实验报告

姓名:禾小鬼

同组人:

学号:16S

班级:信息2班

指导教师:xxx

院系:电信学院

实验二伪随机信号发生器

一、实验原理

实验要求设计一个伪随机信号发生器,什么是伪随机信号发生器?如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。因此可以说,伪随机序列是具有某种随机特性的确定的序列。它们是由移位寄存器产生确定序列,然而他们却具有某种随机序列的随机特性。因为同样具有随机特性,无法从一个已经产生的序列的特性中判断是真随机序列还是伪随机序列,只能根据序列的产生办法来判断。伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。这些特性使得伪随机序列得到了广泛的应用,特别是在CDMA系统中作为扩频码已成为CDMA技术中的关键问题。特性为序列中两种元素出现的个数大致相等;如果把n个元素连续出现叫做一个长度为n的元素游程,则序列中长度为n的元素游程比长度为n+1的元素游程多一倍;序列有类似白噪声的自相关函数。

实验指导书上已经给出一个4位伪随机信号发生器的原理图,如图1所示,一个4位的移位寄存器,第1级和第4级的输出信号通过一个异或门反馈到第1级的输入。随着连续的时钟周期信号,可以生成15个不同的测试向量。

图1 伪随机二进制序列产生器

在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“伪随机信号发生器”。首先设计一个4位伪随机信号发生器,按照图1所示连接电路即可。然后,扩展到多位。

二实验过程和结果

1.第一步:建立一个新的工程

新建工程的过程中,最重要的是设置器件,不同的器件的,设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为psr(pseudo_random_signal),在上面进行画图。

2.第二步:画电路图

本次实验采用软件自带的器件库的器件即可,然后按照图1画出电路图。我画的电路图,如图2所示。其原理为:连续的四个D触发器起到依次平移的作用,即每来一个时钟依次将输出向低位移动,低位x0和高位x3进行异或作为输入。

图2 电路图设计方案

3.行为仿真验证和结果分析

在电路图链接无误后,开始行为仿真,新建仿真文件,由于我使用的是quartus ii 9.1,软件自带仿真功能,不用利用第三方软件仿真。首先将引脚引入,然后设置各个输入引脚的输入状态,包括clk和pre,最后设置仿真长度。我设置时钟1M,仿真长度100us。仿真结果如图3所示。

图3 功能仿真结果

4.修改并实现一个8位伪随机序列发生器

由于画电路图实在麻烦,我采用的是语言的形式实现的8位M序列发生器。设计的程序如图4所示,然后,进行仿真验证,结果如图5所示。

图4 8位伪随机序列发生器verilog设计方案

图5 8位伪随机序列发生器仿真验证结果

三讨论与分析

由于第二部分已经对实验结果进行了详细的分析,下面讲总结在实验过程中遇到的困难,总结如下:

1.Verilog语言的形式可以更简洁的表达功能,而电路图的形式更容易让

人理解电路的功能。

2.设置实验仿真的时候,要清晰处理好所有输入控制之间的关系,使得在

仿真结果中可以清晰的看出输入控制是否发挥作用。

附录

实验程序:

module PSR(nrst,clk,M_out);

input nrst,clk;

output M_out;

reg M_out;

reg [8:0] A;

reg [8:0]counter;

always @(posedge clk or negedge nrst)

begin

if(! nrst)

A<=9'b1_0000_0000;

else

begin

A<=A>>1;

A[8]<=(A[0]^A[4]^A[5]^A[6]^A[8]);

M_out<=A[0];

end

end

endmodule

指导教师签字:

伪随机码生成器

M序列发生器 M序列是最常用的一种伪随机序列,是一种线性反馈移位寄存器序列的简称。带线性反馈逻辑的移位寄存器设定各级寄存器的初试状态后,在时钟的触发下,每次移位后各级寄存器状态都会发生变化。其中一级寄存器(通常为末级)的输出,随着移位寄存器时钟节拍的推移会产生下一个序列,称为移位寄存器序列。他是一种周期序列,周期与移位寄存器的级数和反馈逻辑有关。 以4级移位寄存器为例,线性反馈结构如下图: 4级以为寄存器反馈图 其中a4=a1+a0

信号a4:a0禁止出现全0,否则将会出现全0,序列不变化。实验仿真 Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity random_4 is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC;

din : in STD_LOGIC_VECTOR (3 downto 0); dout : out STD_LOGIC_VECTOR (3 downto 0); load : in STD_LOGIC); end random_4; architecture Behavioral of random_4 is signal rfsr :std_logic_vector(3 downto 0); --signal temp:std_logic; begin process(clk,reset,load,din) begin if (reset ='1') then rfsr <=(others =>'0'); elsif (clk' event and clk='1') then if(load ='1') then ----load =1 rfsr<= din; else rfsr(3) <= rfsr(0) xor rfsr(1); rfsr(2 downto 0) <= rfsr(3 downto 1); end if; end if; end process; ------signal rename----

伪随机序列的产生及应用设计-通信原理课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目:伪随机序列的产生及应用设计 初始条件: 具备通信课程的理论知识;具备模拟与数字电路基本电路的设计能力;掌握通信电路的设计知识,掌握通信电路的基本调试方法;自选相关电子器件;可以使用实验室仪器调试。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、设计伪随机码电路:产生八位伪随机序列(如M序列、Gold 序列等); 2、了解D/A的工作原理及使用方法,将伪随机序列输入D/A中(如 DAC0808),观察其模拟信号的特性; 3、分析信号源的特点,使用EWB软件进行仿真; 4、进行系统仿真,调试并完成符合要求的课程设计说明书。 时间安排: 二十二周一周,其中3天硬件设计,2天硬件调试 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要................................................................................................................................ I 1理论基础知识 (1) 1.1伪随机序列 (1) 1.1.1伪随机序列定义及应用 (1) 1.1.2 m序列产生器 (2) 1.2芯片介绍 (4) 1.2.1移位寄存器74LS194. (4) 1.2.2移位寄存器74LS164 (5) 1.2.3 D/A转换器DAC0808 (6) 2 EWB软件介绍 (8) 3设计方案 (9) 4 EWB仿真 (11) 5电路的安装焊接与调试 (13) 6课程设计心得体会 (14) 参考文献 (15) 附录1 (16)

信号发生器实验报告

低频电路课程设计 OCL 功率放大器设计 学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 08测控1班 姓 名: 朱彬彬 学 号: 08314105 指导老师: 王云松 2010年 6 月20 日 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 电气信息工程学院

1设计课题:OCL功率放大器 为了保证功率,效率和失真三个指标满足一定的要求,早期的功率放大器多采用变压耦合。这种电路变压器体积大,比较笨重,耗损多,而且高频和低频部分频响特性不好,在引入负反馈时,很容易自激。随着电子技术的发展,后来被无输出变压器的功率放大电路(OTL)代替。在OTL电路中,虽去掉了变压器,但为了能用但电源供电,输出端接了一个大电容,这个大电容影响了电路的低频特性,于是出现了OCL电路。 OCL功放是在OTL功放的基础上发展起来的,它比OTL功放的频带更宽,保真度更高。OCL功放是一种直接耦合的多级放大器,它运用了许多电子器件,包含了多种基本电路形式。 OCL功率放大器采用两组电源供电,使用了正负电源,在电压不太高的情况下,也能获得较大的输出功率,省去了输出端的耦合电容,使放大器低频特性得到扩展,OCL功放电路也是定压式输出电路,其电路由于性能比较好,所以广泛的应用于高保真扩音设备中。 2 主要技术指标 最大不失真输出功率:Pom≥8w 负载阻抗(扬声器):R L=10Ω 频率响应:f=50Hz~20kHz 非线性失真系数:γ≤功率放大器1% 输入灵敏度:Vi≤300mv 稳定性:电源升高和降低20%时,输出零点漂移≤100mv 3实验用仪器: 直流稳压电源一台 低频信号发生器一台 低频毫伏表一台 示波器一台 万用表一台 晶体管图示仪一台 失真度测量仪一台 4电路原理 OC L功率放大器时一种直接耦合的多级放大器,总体可分为三个部分

时间序列分析实验报告(3)

《时间序列分析》课程实验报告

一、上机练习(P124) 1.拟合线性趋势 12.79 14.02 12.92 18.27 21.22 18.81 25.73 26.27 26.75 28.73 31.71 33.95 程序: data xiti1; input x@@; t=_n_; cards; 12.79 14.02 12.92 18.27 21.22 18.81 25.73 26.27 26.75 28.73 31.71 33.95 ; proc gplot data=xiti1; plot x*t; symbol c=red v=star i=join; run; proc autoreg data=xiti1; model x=t; output predicted=xhat out=out; run; proc gplot data=out; plot x*t=1 xhat*t=2/overlay; symbol2c=green v=star i=join; run; 运行结果:

分析:上图为该序列的时序图,可以看出其具有明显的线性递增趋势,故使用线性模型进行拟合:x t=a+bt+I t,t=1,2,3,…,12 分析:上图为拟合模型的参数估计值,其中a=9.7086,b=1.9829,它们的检验P值均小于0.0001,即小于显著性水平0.05,拒绝原假设,故其参数均显著。从而所拟合模型为:x t=9.7086+1.9829t.

分析:上图中绿色的线段为线性趋势拟合线,可以看出其与原数据基本吻合。 2.拟合非线性趋势 1.85 7.48 14.29 23.02 37.42 74.27 140.72 265.81 528.23 1040.27 2064.25 4113.73 8212.21 16405.95 程序: data xiti2; input x@@; t=_n_; cards; 1.85 7.48 14.29 23.02 37.42 74.27 140.72 265.81 528.23 1040.27 2064.25 4113.73 8212.21 16405.95 ; proc gplot data=xiti2; plot x*t; symbol c=red v=star i=none; run; proc nlin method=gauss; model x=a*b**t; parameters a=0.1 b=1.1; der.a=b**t; der.b=a*t*b**(t-1); output predicted=xh out=out; run; proc gplot data=out; plot x*t=1 xh*t=2/overlay;

DDS信号发生器 实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验一函数信号发生器 一、实验内容 实验内容包括下面两个方面 1.熟悉quartus ii开发环境 第一次接触quartus ii开发环境,首先可以通过新建一个工程熟悉quartus ii的各种基本操作。需要学习的包括以下几个方面:选器件,采用原理图方法画一个电路图实现某种功能,并对这个功能进行行为仿真以验证功能上的正确性。 2.设计一个函数信号发生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“函数信号发生器”。然后,可以先根据自己的思路想好一个电路图的设计方案,再开始实验。 二实验结果 1.第一步:建立一个新的工程 新建工程的过程中,最重要的是设置器件,不同的器件的设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为DDS在上面进行画图。 2.第二步:画电路图 本次实验采用软件自带的器件库MegaWizard Plug-in Manager中的器件。自定义3个ROM,并将ROM表中存储事先准备好的三种波形的数据文件,波形数据文件由matlab产生,ROM中存储8bit-32words的数据,包括一个时钟输入,一个5位地址输入和一个7位输出;还需要一个5位计数器,用以输出读取ROM 的地址;一个时钟控制整个电路工作; 我画的电路图,如图1所示。其原理为:三个ROM表存储三种波形数据,整个电路通过时钟控制,时钟每翻转一次,计数器加一,产生一个地址,输入到

伪随机序列发生器本科毕业论文

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

函数信号发生器实训报告

电子与信息工程 综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:电子1班 组员:徐丹许艳徐梅 指导教师:张辉 时间:2013-6-8至2011-6-16

目录 前言......................................................................... 错误!未定义书签。 1 波形发生器概述 (2) 1.1波形发生器的发展状况 (2) 1.2国内外波形发生器产品比较 (3) 2 方案论证与比较 (4) 2.1 方案一 (4) 2.2 方案二 (5) 2.3 方案三 (5) 3 硬件原理 (5) 3.1 MCS-51单片机的内部结构 (6) 3.1.1 内部结构概述 (6) 3.1.2 CPU结构 (6) 3.1.3 存储器和特殊功能寄存器 (7) 3.2 P0-P3口结构 (7) 3.3 时钟电路和复位电路 (8) 3.3.1时钟电路 (8) 3.3.2单片机的复位状态 (9) 3.4 DAC0832的引脚及功能 (10) 4 软件原理 (11) 4.1 主流程图 (12) 4.1.1 方波仿真图 (13) 4.1.2 三角波仿真图 (14) 4.1.3 锯齿波仿真图 (15) 4.1.4 梯形波仿真图 (16) 4.1.5 正弦波仿真图 (17) 4.2附录:实物图 (17) 总结 (18) 致谢 (19) 参考文献 (19)

1 波形发生器概述 在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。 1.1波形发生器的发展状况 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。 在70 年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。 90 年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecr oy 公司生产的型号为9100 的任意波形发生器等。 到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz 的DDS 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent 的产品33220A能够产生17 种波形,最高频率可达到20M,2005 年的产品N6030A 能够产生高达500MHz 的频率,采样的频率可达1.25GHz。由上面的产品可以看出,函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

伪随机码发生器设计

伪随机码发生器设计 1 引言 随着科学技术的进步,现代战争样式向信息战形式发展。现代战争胜负对于信息获取的依赖程度前所未有的提高。在现代战争中,若己方的通讯交流方式早敌军破获,则地方将获取己方部队动向或实施信息干扰。将会使部队陷入极其危险地境地中。因此,信息战对通讯加密手段的要求极高。 伪随机序列(Pseudonoise Sequence)又称伪噪声或伪随机码,具有类似随机信号的一些统计特性,但又是有规律的,容易产生和复制的。最大长度线性移位寄存器序列(m序列)是保密通信中非常重要的一种伪随机序列,它具有随机性、规律性及较好的自相关和互相关性,而且密钥量很大。利用m序列加密数字信号,使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号。这样,通过对m序列的应用,将大大的提高通讯的保密程度和防窃取能力。这样的通讯手段被称为扩展频谱通信 扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据被伪随机编码也就是扩频序列调制,实现频谱扩展以后再在信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。在这其中,伪随机码发生器是十分重要的一环,是对信息加密的核心器件。m序列伪随机码发生器即使通过m序列的方式对信息数据编码。 本系统所设计的伪随机码发生器,产生m序列伪随机码。系统采用AT89S51单片机作为控制芯片,控制使用LCD12864显示处理器产生的m序列伪随机码,并且可通过按键对参数修改,设置初始码及m 序列长度。单片机根据设定的初始码及m序列长度,按照约定的逻辑运算关系,循环往复的产生0或者1。 2 发生器系统设计 2.1总体设计 系统分为信息处理、实时显示和按键修改共五大模块。 系统总体结构框图如图1所示:

多种信号音及铃流信号发生器实验

信息科学与工程学院《程控交换原理》上机实验报告 专业班级电信姓名学号 实验时间 2010年 12月 2 日指导教师成绩

图4—1 本实验系统传送信号流程图 4、数字信号的产生 在数字程控交换机中直接进行交换的是PCM数字信息,在这样的情况下如何使用户家收到信号音(如拨号音、回铃音、忙音等)是一个重要的问题。因为模拟信号产生的信号音是不能通过PCM交换系统的,这就要求设计一个数字信号发生器,使之能与交换网络输出这样一些PCM信息,这些数字信息经过非线性译码后能成为一个我们所需的模拟信号音。 )传统方式产生数字信号音 )由图4—2可知,这是一种常见的PCM编码方式,400HZ—500HZ的正弦信号由硬(3)数字电路产生数字音信号

图4—3 450HZ正弦波信号一个周期取样示意图 我们对正弦信号再以每隔125us取样一次,并将取样所得的正弦信号幅度按照A规律十三 图4—4 数字信号产生电流原理图 5、拨号音及控制电路 主叫用户摘机,CPU检测到该用户有摘机状态后,立即向该用户发出声音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,立即切断该声音信号,该声音信号就叫拨号音。拨号音由上述数字信号产生,一旦一有用户摘机,交换网路把数字信号音送给该用户,经过TP3067的译码,提供给用户450hz的正弦波。

图4—5断续电路原理图 7、忙音及控制电路 忙音表示被叫用户处于忙状态,此时用户应该挂机,等一会在从新呼叫 本试验箱大于采用0、35秒断,0、35秒继续的400hz—450hz的方波信号,图4是该电路的原理图。 图4—6忙音控制电路的原理图。

实验一 伪随机码发生器实验

实验一伪随机码发生器实验 电科1103 杨帆 3110104337 一、实验目的 1、掌握伪随机码的特性。 2、掌握不同周期伪随机码设计。 3、用基本元件库和74LS系列元件库设计伪随机码。 4、了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、熟悉通信原理实验板的结构。 二、实验仪器 1、计算机一台 2、通信基础实验箱一台 3、100MHz示波器一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源; 扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方 面: 1、由n级移位寄存器产生的伪随机序列,其周期为-1; 2、信码中“0”、“1”出现次数大致相等,“1”码只比“0”码多一个; 3、在周期内共有-1游程,长度为i 的游程出现次数比长度为i+1的游程出现 次数多一倍; 例如:四级伪码产生的本原多项式为X 4 +X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。

在MAXPLUS II 环境下输入上述电路,其中:dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚

模电函数信号发生器实验报告

电子电路模拟综合实验 2009211120 班 09210580(07)号 桂柯易

实验1 函数信号发生器的设计与调测 摘要 使用运放组成的积分电路产生一定频率和周期的三角波、方波(提高要求中通过改变积分电路两段的积分常数从而产生锯齿波电压,同时改变方波的占空比),将三角波信号接入下级差动放大电路(电流镜提供工作电流),利用三极管线性区及饱和区的放大特性产生正弦波电压并输出。 关键词 运放积分电路差动发达电路镜像电流源 实验内容 1、基本要求: a)设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器。 1)输出频率能在1-10KHz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; 3)三角波Uopp=8V; 4)正弦波Uopp>1V。 b)设计该电路的电源电路(不要求实际搭建),用PROTEL软件绘制完整的 电路原理图(SCH) 2、提高要求: a)三种输出波形的峰峰值Uopp均可在1V-10V范围内连续可调。 b)三种输出波形的输出阻抗小于100欧。 c)用PROTEL软件绘制完整的印制电路板图(PCB)。 设计思路、总体结构框图 分段设计,首先产生方波-三角波,再与差动放大电路相连。 分块电路和总体电路的设计(1)方波-三角波产生电路: 正弦波产生电路三角波产生电路 方波产生电路

首先,稳压管采用既定原件2DW232,保证了输出方波电压Uo1的峰峰值为12V,基本要求三角波输出电压峰峰值为8V,考虑到平衡电阻R3的取值问题,且要保证R1/Rf=2/3,计算决定令Rf=12K,R1=8K,R3=5K。又由方波的上升、下降沿要求,第一级运放采用转换速度很快的LM318,Ro为输出限流电阻,不宜太大,最后采用1K欧电阻。二级运放对转换速度要求不是很高,故采用UA741。考虑到电容C1不宜过小,不然误差可能较大,故C1=0.1uF,最后根据公式,Rw抽头位于中点时R2的值约为300欧,进而确定平衡电阻R4的阻值。考虑到电路的安全问题,在滑阻的接地端串接了一个1K的电阻。(注:实际调测时因为滑阻转动不太方便,所以通过不断换滑阻的方式确定适当频率要求下Rw的阻值,我的电路最后使用的是1K欧的滑阻) (2)正弦波产生电路:

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

一种新的混沌伪随机序列生成方式

第28卷第7期电子与信息学报V ol.28No.7 2006年7月 Journal of Electronics & Information Technology Jul.2006 一种新的混沌伪随机序列生成方式 罗启彬 张 健 (中国工程物理研究院电子工程研究所绵阳 621900) 摘要利用构造的Hybrid混沌映射,通过周期性改变混沌迭代初值来产生混沌伪随机序列。理论和统计分析可知,该混沌序列的各项特性均满足伪随机序列的要求,产生方法简单,具有较高的安全性和保密性,是一类很有应用前景的伪随机加密序列。 关键词混沌序列, 加密, Lyapunov指数,自相关 中图分类号:TN918 文献标识码:A 文章编号:1009-5896(2006)07-1262-04 A New Approach to Generate Chaotic Pseudo-random Sequence Luo Qi-bin Zhang Jian (Institute of Electronic Engineering, CAEP, Mianyang 621900,China) Abstract This paper proposes hybrid mapping to generate chaotic sequence, by altering initial value periodically. The results show that the properties of the hybrid chaotic sequence are good,and the sequence generator can be easily realized. It is a class of promising pseudo-random sequence in practical applications. Key words Chaotic sequence, Encryption, Lyapunov exponent, Auto-correlation 1 引言 混沌序列是一种性能优良的伪随机序列,其来源丰富,生成方法简单。通过映射函数、生成规则以及初始条件便能确定一个几乎无法破译的加密序列。因此,混沌加密受到越来越多的关注,近年来被广泛应用于保密通信领域[1-4]。 将混沌理论应用于流密码是1989年由Matthews[5]最先提出。迄今为止,利用混沌映射产生随机序列的理论研究很多。但是,混沌序列发生器总是用有限精度来实现,其特性由于有限精度效应会与理论结果大相径庭。因此,有限精度效应是混沌序列从理论走向应用的主要障碍。文献[6]用m 序列与产生的混沌序列“异或”来克服有限精度的影响,但由于微扰是随机的,不易产生,而且系统分布以及相关性能取决于附加的m序列而不是混沌系统本身。文献[7]通过构造变参数复合混沌系统来实现有限精度混沌系统。本文利用构造的分段非线性Hybrid映射,通过周期性地改变混沌迭代初值的办法来产生混沌序列,克服了序列有限精度效应的影响。计算机数值实验表明所产生的混沌序列的各项特性均较好,产生方法简单,具有较高的安全性,是一类很有应用前景的伪随机加密序列。 本文第2节给出了混沌随机序列发生器的产生过程,在此基础上讨论了混沌系统的扰动问题;第4节通过计算机仿真来验证所产生的混沌伪随机序列的性质;最后是结论。 2004-11-22收到,2005-08-08改回 中国工程物理研究院科学技术基金面上资助课题(20050429) 2 序列产生 由于Logistic映射和Tent映射的复杂度都不高,由此产生的混沌加密序列的安全性能都不是非常理想。本文把两者相结合,构造出一种新的混沌迭代映射——Hybrid映射: 2 1 1 2 (1)10 =()= 1, 0<1 k k k k k k b u x x x f x u x x + ???<≤ ? ? ?< ?? , (1) 该映射不但继承了Logistic映射和Tent映射容易产生的特点,而且还能增加混沌系统的安全性。 当初值x0=0.82,u1=1.8,u2=2.0,b=0.85时,此映射处于混沌态,产生的混沌序列如图1所示,其中横轴是迭代次数k,纵轴是经不断迭代得到的混沌状态空间变量x(k)。图1(a)为初值等于0.82的Hybrid混沌映射时序图,图1(b)为Hybrid映射对迭代初值高度敏感性的示意图(初值相差10-15)。 图1 (a) Hybrid mapping 的随机特性 (b) Hybrid mapping 对初值的敏感特性 Fig.1 (a) Randomicity of Hybrid mapping (b) Sensitivity of Hybrid mapping 把生成的实值混沌随机序列{x k}转化为二进制随机序列{S k},按如下方法实施:

函数信号发生器实验报告

北京邮电大学 电子电路综合设计实验报告 课题名称:函数信号发生器的设计和调试 院系:信息与通信工程学院 班级: 2012211113 姓名:李鸣野 学号:2012210362 班内序号:01 摘要 函数(波形)信号发生器能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。方波-三角波产生电路主要有运放组成,其中由施密特触发器多谐振荡器产生方波,积分电路将方波转化为三角波,差分电路实现三角波-正弦波的变换。该电路振荡频率由第一个电位器调节,输出方波幅度的大小由稳压管的稳压值决定;正弦波幅度和电路的对称性分别由后两个电位器调节。

关键词:方波,三角波,正弦波 基本要求: a)设计一个设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器 1)输出频率能在1-10khz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%--70%; 3)三角波Uopp=8V; 4)正弦波Uopp≥1V。 b)用PROTEL软件绘制完整的电路原理图(SCH) 设计思路: 要产生方波,需要用稳压管和比较器组成方波产生电路。稳压管为实验提供的6v稳压管。方波经过RC积分电路积分得到三角波,幅度为Uo2m=±(UZ+UD),由R1和Rf的比值及稳压管的稳压值决定,实验要求三角波峰峰值为8v,故根据公式推导后,选用20K的电阻作为R1,30K的电阻作为Rf。R3为12K。R4为直流平衡电阻,应与R2保持一致,均为5K。R0为限流电阻,根据实验要求选用2K。 三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。R e取阻值为100Ω,C1、C2、C4为隔直流电容,取C1=C2=C3=33uF。Rp1调节三角波

spss时间序列模型

《统计软件实验报告》SPSS软件的上机实践应用 时间序列分析

数学与统计学学院 一、实验内容: 时间序列是指一个依时间顺序做成的观察资料的集合。时间序列分析过程中最常用的方法是:指数平滑、自回归、综合移动平均及季节分解。 本次实验研究就业理论中的就业人口总量问题。但人口经济的理论和实践表明,就业总量往往受到许多因素的制约,这些因素之间有着错综复杂的联系,因此,运用结构性的因果模型分析和预测就业总量往往是比较困难的。时间序列分析中的自回归求积分移动平均法(ARIMA)则是一个较好的选择。对于时间序列的短期预测来说,随机时序ARIMA是一种精度较高的模型。 我们已辽宁省历年(1969-2005)从业人员人数为数据基础建立一个就业总量的预测时间序列模型,通过spss建立模型并用此模型来预测就业总量的未来发展趋势。 二、实验目的: 1.准确理解时间序列分析的方法原理 2.学会实用SPSS建立时间序列变量 3.学会使用SPSS绘制时间序列图以反应时间序列的直观特征。

4.掌握时间序列模型的平稳化方法。 5.掌握时间序列模型的定阶方法。 6.学会使用SPSS建立时间序列模型与短期预测。 7.培养运用时间序列分析方法解决身边实际问题的能力。 三、实验分析: 总体分析: 先对数据进行必要的预处理和观察,直到它变成稳态后再用SPSS对数据进行分析。 数据的预处理阶段,将它分为三个步骤:首先,对有缺失值的数据进行修补,其次将数据资料定义为相应的时间序列,最后对时间序列数据的平稳性进行计算观察。 数据分析和建模阶段:根据时间序列的特征和分析的要求,选择恰当的模型进行数据建模和分析。 四、实验步骤: SPSS的数据准备包括数据文件的建立、时间定义和数据期间的指定。 SPSS的时间定义功能用来将数据编辑窗口中的一个或多个变量指定为时间序列变量,并给它们赋予相应的时间标志,具体操作步骤是: 1.选择菜单:Date→Define Dates,出现窗口:

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

伪随机序列发生器

伪随机序列发生器 一、实验目的: 理解伪随机序列发生器的工作原理以及实现方法,掌握MATLAB\DSP BUILDER设计的基本步骤和方法。 二、实验条件: 1. 安装WindowsXP系统的PC机; 2. 安装QuartusII6.0 EDA软件; 的序列发生器,并通 ⒈ ⒉ ⒊⒋⒌⒍⒎⒏ ⒐ ⒑ ⒒⒓⒔⒕⒖⒗ 四、实验原理: 对于数字信号传输系统,传送的数字基带信号(一般是一个数字序列),由于载有信息,在时间上往往是不平均的(比如数字化的语音信号),对应的数字序列编码的特性,不利于数字信号的传输。对此,可以通过对数字基带信号预先进行“随机化”(加扰)处理,使得信号频谱在通带内平均化,改善数字信号的传输;然后在接受端进行解扰操作,恢复到原来的信号。伪随机序列广泛应用与这类加扰与解扰操作中。我们下面用DSP BUILDER来构建一中伪随机序列发生器——m序列发生器,这是一种很常见的伪随机序列发生器,可以由线性反馈器件来产生,如下图:

其特征多项式为: ()∑==n i i i x C x F 0 注:其中的乘法和加法运算都是模二运算,即逻辑与和逻辑或。 可以证明,对于一个n 次多项式,与其对应的随机序列的周期为。 12?n 接下来我们以为例,利用DSP BUILDER 构建这样一个伪随机序列发生器。 125++x x 开Simulink 浏览器。 Simulink 我们可以看到在Simulink 工作库中所安装的Altera DSP Builder 库。 2. 点击Simulink 的菜单File\New\Model 菜单项,新建一个空的模型文件。

51单片机信号发生器实验报告

微控制器技术创新设计实验报告姓名:学号:班级: 一、项目背景 信号发生器也叫做振荡器或是信号源,在现在的科技生产实践中有着广泛而重要的应用。现在的特殊波形发生器在价格上不够经济,有些昂贵。而基于AT89C51单片机的函数信号发生器可以满足此要求。根据傅里叶变换,各种波形均可以用三角函数的相关式子表示出来。函数信号发生器能够产生多种波形,如三角波、锯齿波、矩形波、方波和正弦波。 二、项目整体方案设计 可以利用单片机编程的方法来实现波形的输出。可选用AT89C51作为控制器,输出相应波形的数字信号,再用D/A 转换器输出相应波形的模拟信号。用DAC0832作为D/A转换器,再经过两级放大后输出,最终在示波器上显示。可以使用按键扫描来实现波形的变化

三、硬件设计 四、软件设计 #include #define uchar unsigned char #define uint unsigned int Const tab[]={0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xff,0xff ,0xff,0xff,0xff,0xff,0xff,0xff}; void delay(void) { uchar i; for(i=230;i>0;i--); }

void main() { uchar i; while(1) { for(i=0;i<18;i++) { P1=tab[i]; delay(); } } } 五、实验结果

六、项目总结 通过这次实验设计,锻炼我们综合运用知识,提出问题,分析问题,及解决问题的能力。我感慨颇多,在着手设计的这段日子里,我又学到了很多东西。特别是理论联系实际。我认为掌握单片机的应用及开发技术是最基本的也是必要的。单片机是以后从事相关嵌入式研发最为基本的入门芯片。所以学好单片机是我们电子类的必要任务。通过这次单片机课程设计的顺利完成,离不开付老师指导,也离不开班上同学的耐心帮助。在此,我对所有帮助过我的老师和同学表示我真挚的感谢!

相关主题
文本预览
相关文档 最新文档