当前位置:文档之家› 差分信号走线原则

差分信号走线原则

差分信号走线原则
差分信号走线原则

设计规则1

我们处理差分信号的第一个规则是:走线必须等长。有人激烈地反对这条规则。通常他们的争论的基础包括了信号时序。他们详尽地指出许多差分电路可以容忍差分信号两个部分相当的时序偏差而仍然能够可靠地进行翻转。根据使用的不同的逻辑门系列,可以容忍500 mil 的走线长度偏差。并且这些人们能够将这些情况用器件规范和信号时序图非常详尽地描绘出来。问题是,他们没有抓住要点!差分走线必须等长的原因与信号时序几乎没有任何关系。与之相关的仅仅是假定差分信号是大小相等且极性相反的以及如果这个假设不成立将会发生什么。将会发生的是:不受控的地电流开始流动,最好情况是良性的,最坏情况将导致严重的共模EMI问题。

因此,如果你依赖这样的假定,即:差分信号是大小相等且极性相反,并且因此没有通过地的电流,那么这个假定的一个必要推论就是差分信号对的长度必须相等。差分信号与环路面积:如果我们的差分电路处理的信号有着较慢的上升时间,高速设计规则不是问题。但是,假设我们正在处理的信号有着有较快的上升时间,什么样的额外的问题开始在差分线上发生呢?考虑一个设计,一对差分线从驱动器到接收器,跨越一个平面。同时假设走线长度完全相等,信号严格大小相等且极性相反。因此,没有通过地的返回电流。但是,尽管如此,平面层上存在一个感应电流!

任何高速信号都能够(并且一定会)在相邻电路(或者平面)产生一个耦合信号。这种机制与串扰的机制完全相同。这是由电磁耦合,互感耦合与互容耦合的综合效果,引起的。因此,如同单端信号的返回电流倾向于在直接位于走线下方的平面上传播,差分线也会在其下方的平面上产生一个感应电流。

但这不是返回电流。所有的返回电流已经抵消了。因此,这纯粹是平面上的耦合噪声。问题是,如果电流必须在一个环路中流动,剩下来的电流到哪里去了呢?记住,我们有两根走线,其信号大小相等极性相反。其中一根走线在平面一个方向上耦合了一个信号,另一根在平面另一个方向上耦合了一个信号。平面上这两个耦合电流大小相等(假设其它方面设计得很好)。因此电流完全在差分走线下方的一个环路中流动(图3)。它们看上去就像是涡流。耦合电流在其中流动的环路由(a)差分线自身和(b)走线在每个端点之间的间隔来定义。

设计规则2

现在EMI 与环路面积已是广为人知了3。因此如果我们想控制EMI,就需要将环路面积最小化。并且做到这一点的方法引出了我们的第二条设计规则:将差分线彼此靠近布线。有人反对这条规则,事实上这条规则在上升时间较慢并且EMI 不是问题时并不是必须的。但是在高速环境中,差分线彼此靠得越近布线,走线下方所感应的电流的环路就越小,

EMI 也可以得到更好的控制。

值得一提的是一些工程师要求设计人员去掉差分线下方的平面。原因之一是减小或消除走线下方的感应电流环路。另外一个原因是防止平面上已有的噪声耦合到(推测如此)走线上的低压信号4。

还有一个将差分线彼此靠近布线的理由。差分接收器设计为对输入信号的差敏感而对输入的共模偏移不敏感。也就是说即使(+)输入相对(-)输入仅有轻微的偏移,接收器也会检测到。但是如果(+)和(-)输入一起偏移(在同样的方向),相对而言接收器对这种偏移不敏感。因此如果任何外部噪声(比如EMI 或串扰)等同地耦合到差分线中,接收器将对此种(共模耦合)噪声不敏感。差分线布得越彼此靠近,任何偶合噪声在每根走线上就越相近。因此电路的噪声抑制就越好。

规则2推论

再次假定高速环境中,如果差分线彼此紧挨着布线(为了使其下方的环路面积最小化)那么走线将彼此耦合。如果走线足够长以至于端接成为一个问题,这种耦合就会影响到确切的端接阻抗5的计算。原因是:考虑一个差分线对,线1 和线2。假使它们分别携带信号V1和V2。因为它们是差分线,V2=V1*V1在线1 引起一个电流I1而V2在线2 引起一个电流I2。电流必然是从欧姆定律导出,I=V/Z0,这里Z0是走线的特征阻抗。现在线1(举例)携带的电流事实上由i1和k*i2组成,这里k 是线1 与线2 间的耦合比例。这表明这种耦合的最终效果是线1 上的一个明显的阻抗,这个阻抗等于Z=Z0-Z12这里Z12由线1 与线2 间的互耦6引起。如果线1 和线2 分得很开,它们之间的耦合就很小,确切的端接阻抗就只是Z0,单端走线的特征阻抗。但是如果走线靠的更近,它们之间的耦合就会增加,这样走线的阻抗与这种耦合成比例地减小。这就是说确切的走线端接(为了防止反射)为Z0-Z12,或者某个小于Z0的值。这对差分对的两根走线都适用。因为没有流经地的电流(大概这是个假设)那么端接电阻被连接在线1 和线2 之间,且确切的端接阻抗算得是2(Z0-Z12)。这个值经常被叫做“差分阻抗”7。

设计规则3

差分阻抗因互耦而变,而互耦因线距而变。因此在任何情况下,走线阻抗,也就是互耦,在全线为常数是很重要的。这就得到了我们的第三个规则:(差分对的)线距必须在全线为常数。

注意对差分阻抗的影响只是规则2 的推论。差分阻抗根本不是与生俱来的。我们要把差分线彼此靠近布线与EMI 和噪声免疫有关。它对“长”线确切端接以及线距一致性的影响的事实只不过是为了EMI 控制而将走线彼此靠近布线的一个推论8。

结论

差分信号有几个优点,它们中的三个是(a)与电源系统有效隔离,(b)对噪声免疫,和(c)增强信噪比。与电源系统(特别是系统地)隔离依赖于差分线上的信号真正地大小相等且极性相反。这个假定也许不成立,如果差分对中单个线长不完全匹配。对噪声的免疫经常依赖于走线的紧耦合。这将依次影响到为防止反射而对走线进行正确的端接的值,以及如果走线必须紧耦合,通常也是需要的,它们的间距必须全线为常数。

差分信号(Differential Signal)

转自EDN,对差分信号理解得比较的文章,供大家参考

差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在PCB 设计中又如何

能保证其良好的性能呢?

带着这两个问题,我们进行下一部分的讨论。何为差分信号?通俗地说,就是驱

动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。

差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面:

? a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可以被完全抵消。

? b.能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。

? c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。

对于PCB 工程师来说,最关注的还是如何确保在实际走线中能完全发挥差分走线的这些优势。也许只要是接触过Layout 的人都会了解差分走线的一般要求,那就是“等长、

等距”。等长是为了保证两个差分信号时刻保持相反极性,减少共模分量;等距则主要是为了保证两者差分阻抗一致,减少反射。“尽量靠近原则”有时候也是差分走线的要求之一。但所有这些规则都不是用来生搬硬套的,不少工程师似乎还不了解高速差分信号传输的本质。下面重点讨论一下PCB 差分信号设计中几个常见的误区。

误区一:认为差分信号不需要地平面作为回流路径,或者认为差分走线彼此为对方

提供回流途径。造成这种误区的原因是被表面现象迷惑,或者对高速信号传输的机理认识还不够深入。差分电路对于类似地弹以及其它可能存在于电源和地平面上的噪音信号是不敏感的。地平面的部分回流抵消并不代表差分电路就不以参考平面作为信号返回路径,其实在信号回流分析上,差分走线和普通的单端走线的机理是一致的,即高频信号总是沿着电感最小的回路进行回流,最大的区别在于差分线除了有对地的耦合之外,还存在相互之间的耦合,哪一种耦合强,那一种就成为主要的回流通路.在PCB 电路设计中,一般差分走线之间的

耦合较小,往往只占10~20%的耦合度,更多的还是对地的耦合,所以差分走线的主要回

流路径还是存在于地平面。当地平面发生不连续的时候,无参考平面的区域,差分走线之间的耦合才会提供主要的回流通路,尽管参考平面的不连续对差分走线的影响没有对普通的单端走线来的严重,但还是会降低差分信号的质量,增加EMI,要尽量避免。也有些设计人员认为,可以去掉差分走线下方的参考平面,以抑制差分传输中的部分共模信号,但从理论上看这种做法是不可取的,阻抗如何控制?不给共模信号提供地阻抗回路,势必会造成EMI 辐射,这种做法弊大于利。

误区二:认为保持等间距比匹配线长更重要。在实际的PCB 布线中,往往不能同时满足差分设计的要求。由于管脚分布,过孔,以及走线空间等因素存在,必须通过适当的绕线才能达到线长匹配的目的,但带来的结果必然是差分对的部分区域无法平行.PCB 差分走线的设计中最重要的规则就是匹配线长,其它的规则都可以根据设计要求和实际应用进行灵活处理。

误区三:认为差分走线一定要靠的很近。让差分走线靠近无非是为了增强他们的耦合,既可以提高对噪声的免疫力,还能充分利用磁场的相反极性来抵消对外界的电磁干扰。虽说这种做法在大多数情况下是非常有利的,但不是绝对的,如果能保证让它们得到充分的屏蔽,不受外界干扰,那么我们也就不需要再让通过彼此的强耦合达到抗干扰和抑制EMI 的目的了。如何才能保证差分走线具有良好的隔离和屏蔽呢?增大与其它信号走线的间距是最基本的途径之一,电磁场能量是随着距离呈平方关系递减的,一般线间距超过4 倍线宽时,它们之间的干扰就极其微弱了,基本可以忽略。此外,通过地平面的隔离也可以起到很好的屏蔽作用,这种结构在高频的(10G 以上)IC 封装PCB 设计中经常会用采用,被称为CPW 结构,可以保证严格的差分阻抗控制(2Z0).

差分走线也可以走在不同的信号层中,但一般不建议这种走法,因为不同的层产生的诸如阻抗、过孔的差别会破坏差模传输的效果,引入共模噪声。此外,如果相邻两层耦合不够紧密的话,会降低差分走线抵抗噪声的能力,但如果能保持和周围走线适当的间距,串扰就不是个问题。在一般频率(GHz 以下),EMI 也不会是很严重的问题,实验表明,

相距500Mils 的差分走线,在3 米之外的辐射能量衰减已经达到60dB,足以满足FCC 的电磁辐射标准,所以设计者根本不用过分担心差分线耦合不够而造成电磁不兼容问题。

Allegro差分线走线规则

SOFER TECHNICAL FILE Allegro 15.x 差分线布线规则设置 Doc Scope : Cadence Allegro 15.x Doc Number : SFTCA06001 Author :SOFER Create Date :2005-5-30 Rev : 1.00

Allegro 15.x差分线布线规则设置 文档内容介绍: 1.文档背景 (3) 2.Differential Pair信号介绍 (3) 3.如何在Allegro中定义Differential Pair属性 (4) 4.怎样设定Differential Pair在不同层面控制不同线宽与间距 (8) 5.怎样设定Differential Pair对与对之间的间距 (11)

1.文档背景 a)差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,差分线 大多为电路中最关键的信号,差分线布线的好坏直接影响到PCB板子信号质量。 b)差分线一般都需要做阻抗控制,特别是要在多层板中做的各层的差分走线阻抗都 一样,这个一点要在设计时计算控制,否则仅让PCB板厂进行调整是非常麻烦的 事情,很多情况板厂都没有办法调整到所需的阻抗。 c)Allegro版本升级为15.x后,差分线的规则设定与之前版本有很大的改变。虽然 Allegro15.0版本已经发布很长时间了,但是还是有很多人对新版本的差分线规 则设置不是很清楚。 2.Differential Pair信号介绍 差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关 键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在PCB设计中又如何能保证其良好的性能呢?带着这两个问题,我们进行下一部分的讨论。何为差分信号?通俗地说,就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值 来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。 差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面: a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎 是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可 以被完全抵消。 b.能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场 可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。 c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端 信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差, 同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。 …… 由于篇幅问题,这里对差分信号不做深入介绍了。

高速信号布线技巧

高速信号布线技巧 原文引自夔牛的博客 https://www.doczj.com/doc/0b18737900.html,/seutommy 1.多层布线 合理选择层数能大幅度降低印版那个中间层尺寸,能充分利用中间层来设置屏蔽,能更好的实现就近接地,能有效的降低寄生电感,能有效缩短信号的传输长度,能最大限度的降低信号间的交叉干扰。 2.引线弯折越少越好 高速电路器件管脚间的引线弯折越少越好。高速电路布线的引线最好采用全直线,需要弯折,可用45°折线或圆弧线。 3.引线越短越好 高速电路器件管脚间的引线越短越好。引线越长,带来的分布电感和分布电容值越大,对系统的高频信号通过产生很多的影响,同时也会改变电路的特性阻抗。 4.引线层间的交替越少越好 高速电路器件管脚间的引线层间交替越少越好。所谓“引线的层间交替越少越好”,是指元件连接过程中所用的过孔越少越好。据侧,一个过孔可带来约0.5pF的分布电容,导致电路的延迟明显增加,减少过孔数目能显著提高速度。 5.注意平行交叉干扰 高速电路布线要注意信号线近距离平行走线所引入的“交叉干扰”,若无法避免平行分布,可在平行信号的反面布置大面积“地”来大幅度减少干扰。同一层内的平行走线几乎无法避免,但是在相邻的两个层,走线的方向务必取为相互垂直。 6.底线包围 底线包围,也称地线隔离,对特别重要的信号线或局部单元实施地线包围的措施。有些信号对要求比较严格,要保证信号不受到干扰,比如时钟信号、告诉模拟信号、微小模拟信号等。为了保护这些信号尽量少受到周围信号线的串扰,可在这些信号走线的外围加上保护的地线,将要保护的信号线加在中间。 7.走线避免成环

各类信号走线不能形成环路,地线也不能形成电流环路。如果产生环路电路,将在系统中产生很大的干扰。 8.布置去耦电容 每个集成电路块的附近应该设置一个或者几个高频去耦电容。为集成片的瞬变电流提供就进的高频通道,使电流不至于通过环路面积较大的供电线路,从而大大减少了向外的辐射噪声。同时由于各集成片拥有自己的高频通道,相互之间没有公共阻抗,抑制了其阻抗耦合。 9.使用高频扼流环节 模拟地线、数字地线等接往公共地线时要用高频扼流环节。在实际装配高频扼流环节时用的网上是中心穿孔有导线的高频铁氧体磁珠. 10.避免分支和树桩 告诉信号布线应尽量避免分支或树桩。树桩对阻抗有很大影响,可以导致信号的反射和过冲,所以我们通常在设计时应避免树桩和分支。采用菊花链的方式,将对信号的影响降低。 11.信号线尽量走在内层 高频信号线走在表层容易产生较大电磁辐射,也容易受到外界电磁辐射或者因此的干扰。将高频信号先布线在电源和地线之间,通过电源还底层对电磁波的吸收,所产生的辐射将减少很多。

(完整word版)SerDes知识详解

SerDes知识详解 一、SerDes的作用 1.1并行总线接口 在SerDes流行之前,芯片之间的互联通过系统同步或者源同步的并行接口传输数据,图1.1演示了系统和源同步并行接口。 随着接口频率的提高,在系统同步接口方式中,有几个因素限制了有效数据窗口宽度的继续增加。 ?时钟到达两个芯片的传播延时不相等(clock skew) ?并行数据各个bit的传播延时不相等(data skew) ?时钟的传播延时和数据的传播延时不一致(skew between data and clock) 虽然可以通过在目的芯片(chip #2)内用PLL补偿时钟延时差(clock skew),但是PVT变化时,时钟延时的变化量和数据延时的变化量是不一样的。这又进一步恶化了数据窗口。 源同步接口方式中,发送侧Tx把时钟伴随数据一起发送出去, 限制了clock skew对有效数据窗口的危害。通常在发送侧芯片内部,源同步接口把时钟信号和数据信号作一样的处理,

也就是让它和数据信号经过相同的路径,保持相同的延时。这样PVT变化时,时钟和数据会朝着同一个方向增大或者减小相同的量,对skew最有利。 我们来做一些合理的典型假设,假设一个32bit数据的并行总线, a)发送端的数据skew = 50 ps ---很高的要求 b)pcb走线引入的skew = 50ps ---很高的要求 c)时钟的周期抖动jitter = +/-50 ps ---很高的要求 d)接收端触发器采样窗口= 250 ps ---Xilinx V7高端器件的IO触发器 可以大致估计出并行接口的最高时钟= 1/(50+50+100+250) = 2.2GHz (DDR)或者1.1GHz (SDR)。 利用源同步接口,数据的有效窗口可以提高很多。通常频率都在1GHz以下。在实际应用中可以见到如SPI4.2接口的时钟可以高达DDR 700MHz x 16bits位宽。DDR Memory接口也算一种源同步接口,如DDR3在FPGA中可以做到大约800MHz的时钟。 要提高接口的传输带宽有两种方式,一种是提高时钟频率,一种是加大数据位宽。那么是不是可以无限制的增加数据的位宽呢?这就要牵涉到另外一个非常重要的问题-----同步开关噪声(SSN)。 这里不讨论SSN的原理,直接给出SSN的公式:SSN = L *N* di/dt。 L是芯片封装电感,N是数据宽度,di/dt是电流变化的斜率。 随着频率的提高,数据位款的增加,SSN成为提高传输带宽的主要瓶颈。图1.2是一个DDR3串扰的例子。图中低电平的理论值在0V,由于SSN的影响,低电平表现为震荡,震荡噪声的最大值达610mV,因此噪声余量只有1.5V/2-610mV=140mV。

PCB三种特殊布线分享及检查方法详解

PCB三种特殊布线分享及检查方法详解 手术很重要,术后恢复也必不可少!各种PCB布线完成之后,就ok了吗?很显然,不是!PCB布线后检查工作也很必须,那么如何对PCB设计中布线进行检查,为后来的PCB设计、电路设计铺好路呢?本文会从PCB设计中的各种特性来教你如何完成PCB布线后的检查工作,做好最后的把关工作! 在讲解PCB布线完成后的检查工作之前,先为大家介绍三种PCB的特殊走线技巧。将从直角走线,差分走线,蛇形线三个方面来阐述PCB LAYOUT的走线: 一、直角走线(三个方面) 直角走线的对信号的影响就是主要体现在三个方面:一是拐角可以等效为传输线上的容性负载,减缓上升时间;二是阻抗不连续会造成信号的反射;三是直角尖端产生的EMI,到10GHz以上的RF设计领域,这些小小的直角都可能成为高速问题的重点对象。 二、差分走线(等长、等距、参考平面) 何为差分信号(DifferenTIal Signal)?通俗地说就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态0还是1。而承载差分信号的那一对走线就称为差分走线。差分信号和普通的单端信号走线相比,最明显的优势体现在以下三方面: 1、抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可被完全抵消。 2、能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。 3、时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differenTIal signaling)就是指这种小振幅差分信号技术。

ALLEGRO DDR布线规则

ALLEGRO约束规则设置步骤(以DDR为例) Dyyxh@pcbtech tzyhust@https://www.doczj.com/doc/0b18737900.html, 本文是我对约束规则设置方面的一些理解,希望对新手能有所帮助.由于本人水平有限, 错误之处难免,希望大家不吝赐教! 在进行高速布线时,一般都需要进行线长匹配,这时我们就需要设置好constraint规则,并 将这些规则分配到各类net group上.下面以ddr为例,具体说明这些约束设置的具体步骤. 1. 布线要求 DDR时钟: 线宽10mil,内部间距5mil,外部间距30mil,要求差分布线,必需精确匹 配差分对走线误差,允许在+20mil以内 DDR地址,片选及其他控制线:线宽5mil,内部间距15mil,外部间距20mil,应走成 菊花链状拓扑,可比ddrclk线长1000-2500mil,绝对不能短 DDR数据线,ddrdqs,ddrdm线:线宽5mil,内部间距15mil,外部间距20mil,最好在 同一层布线.数据线与时钟线的线长差控制在50mil内. 2. 根据上述要求,我们在allegro中设置不同的约束 针对线宽(physical),我们只需要设置3个约束:DDR_CLK, DDR_ADDR,

DDR_DATA 设置好了上述约束之后,我们就可以将这些约束添加到net上了.点击physical rule set 中的attach……,再点击右边控制面板中的more, 弹出对话框 如上图所示,找到ckn0和ckp0,点击apply,则弹出 选中左边列表中的NET_PHYSICAL_TYPE, 在右边空格内输入DDR_CLK, 点击apply, 弹出 即这两个net已经添加上了NET_PHYSICAL_TYPE属性,且值为DDR_CLK. 类似的,可以将DDR数据线,数据选通线和数据屏蔽线的NET_PHYSICAL_TYPE设 为DDR_DATA, DDR地址线,片选线,和其他控制线的NET_PHYSICAL_TYPE设为 DDR_ADDR. 上述步骤完成后,我们就要将已经设好的约束分配到这些net group上. 如下图点击assignment table…… 弹出对话框 如下图所示,我们对不同的信号组选择各自的physical约束 有人可能会问,为什么你这还有area0,area1啊这是因为你的这些约束有的地方不可 能达到的,比如在bga封装的cpu内,你引线出来,线间距不可能达到

PCBLayout中的直角走线、差分走线和蛇形线

布线(Layout)是PCB设计工程师最基本的工作技能之一。走线的好坏将直接影响到整个系统的性能,大多数高速的设计理论也要最终经过Layout 得以实现并验证,由此可见,布线在高速PCB 设计中是至关重要的。下面将针对实际布线中可能遇到的一些情况,分析其合理性,并给出一些比较优化的走线策略。 主要从直角走线,差分走线,蛇形线等三个方面来阐述。 1.直角走线 直角走线一般是PCB布线中要求尽量避免的情况,也几乎成为衡量布线好坏的标准之一,那么直角走线究竟会对信号传输产生多大的影响呢?从原理上说,直角走线会使传输线的线宽发生变化,造成阻抗的不连续。其实不光是直角走线,顿角,锐角走线都可能会造成阻抗变化的情况。 直角走线的对信号的影响就是主要体现在三个方面: 一是拐角可以等效为传输线上的容性负载,减缓上升时间; 二是阻抗不连续会造成信号的反射; 三是直角尖端产生的EMI。 传输线的直角带来的寄生电容可以由下面这个经验公式来计算: C=61W(Er)[size=1]1/2[/size]/Z0 在上式中,C 就是指拐角的等效电容(单位:pF),W指走线的宽度(单位:inch),εr 指介质的介电常数,Z0就是传输线的特征阻抗。举个例子,对于一个4Mils的50欧姆传输线(εr为4.3)来说,一个直角带来的电容量大概为0.0101pF,进而可以估算由此引起的上升时间变化量: T10-90%=2.2*C*Z0/2 = 2.2*0.0101*50/2 = 0.556ps 通过计算可以看出,直角走线带来的电容效应是极其微小的。 由于直角走线的线宽增加,该处的阻抗将减小,于是会产生一定的信号反射现象,我们可以根据传输线章节中提到的阻抗计算公式来算出线宽增加后的等效阻抗,然后根据经验公式计算反射系数: ρ=(Zs-Z0)/(Zs+Z0) 一般直角走线导致的阻抗变化在7%-20%之间,因而反射系数最大为0.1左右。而且,从下图可以看到,在W/2线长的时间内传输线阻抗变化到最小,再经过W/2时间又恢复到

差分信号走线原则

设计规则1 我们处理差分信号的第一个规则是:走线必须等长。有人激烈地反对这条规则。通常他们的争论的基础包括了信号时序。他们详尽地指出许多差分电路可以容忍差分信号两个部分相当的时序偏差而仍然能够可靠地进行翻转。根据使用的不同的逻辑门系列,可以容忍500 mil 的走线长度偏差。并且这些人们能够将这些情况用器件规范和信号时序图非常详尽地描绘出来。问题是,他们没有抓住要点!差分走线必须等长的原因与信号时序几乎没有任何关系。与之相关的仅仅是假定差分信号是大小相等且极性相反的以及如果这个假设不成立将会发生什么。将会发生的是:不受控的地电流开始流动,最好情况是良性的,最坏情况将导致严重的共模EMI问题。 因此,如果你依赖这样的假定,即:差分信号是大小相等且极性相反,并且因此没有通过地的电流,那么这个假定的一个必要推论就是差分信号对的长度必须相等。差分信号与环路面积:如果我们的差分电路处理的信号有着较慢的上升时间,高速设计规则不是问题。但是,假设我们正在处理的信号有着有较快的上升时间,什么样的额外的问题开始在差分线上发生呢?考虑一个设计,一对差分线从驱动器到接收器,跨越一个平面。同时假设走线长度完全相等,信号严格大小相等且极性相反。因此,没有通过地的返回电流。但是,尽管如此,平面层上存在一个感应电流! 任何高速信号都能够(并且一定会)在相邻电路(或者平面)产生一个耦合信号。这种机制与串扰的机制完全相同。这是由电磁耦合,互感耦合与互容耦合的综合效果,引起的。因此,如同单端信号的返回电流倾向于在直接位于走线下方的平面上传播,差分线也会在其下方的平面上产生一个感应电流。 但这不是返回电流。所有的返回电流已经抵消了。因此,这纯粹是平面上的耦合噪声。问题是,如果电流必须在一个环路中流动,剩下来的电流到哪里去了呢?记住,我们有两根走线,其信号大小相等极性相反。其中一根走线在平面一个方向上耦合了一个信号,另一根在平面另一个方向上耦合了一个信号。平面上这两个耦合电流大小相等(假设其它方面设计得很好)。因此电流完全在差分走线下方的一个环路中流动(图3)。它们看上去就像是涡流。耦合电流在其中流动的环路由(a)差分线自身和(b)走线在每个端点之间的间隔来定义。 设计规则2 现在EMI 与环路面积已是广为人知了3。因此如果我们想控制EMI,就需要将环路面积最小化。并且做到这一点的方法引出了我们的第二条设计规则:将差分线彼此靠近布线。有人反对这条规则,事实上这条规则在上升时间较慢并且EMI 不是问题时并不是必须的。但是在高速环境中,差分线彼此靠得越近布线,走线下方所感应的电流的环路就越小,

差分信号线的原理和优缺点分析

差分信号线的原理和优缺点分析 随着近几年对速率的要求快速提高,新的总线协议不断的提出更高的速率。传统的总线协议已经不能够满足要求了。串行总线由于更好的抗干扰性,和更少的信号线,更高的速率获得了众多设计者的青睐。而串行总线又尤以差分信号的方式为最多。所以在这篇中整理了些有关差分信号线的设计和大家探讨下。 1.差分信号线的原理和优缺点 差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在PCB设计中又如何能保证其良好的性能呢?带着这两个问题,我们进行下一部分的讨论。何为差分信号?通俗地说,就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。 差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面: a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可以被完全抵消。 b. 能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,如图在A-A‘的电流是从右到左,那B-B‘的是从左到右,那么按右手螺旋定则,那他们的磁力线是互相抵消的。耦合的越紧密,互相抵消的磁力线就越多。泄放到外界的电磁能量越少。 c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differenTIal signaling)就是指这种小振幅差分信号技术。 2.差分信号的一个实例:LVDS

高速PCB布线差分对走线

高速PCB布线差分对走线 为了避免不理想返回路径的影响,可以采用差分对走线。为了获得较好的信号完整性,可以选用差分对来对高速信号进行走线,如图1所示,LVDS电平的传输就采用差分传输线的方式。 图1 差分对走线实例 差分信号传输有很多优点,如: ·输出驱动总的dI/dr会大幅降低,从而减小了轨道塌陷和潜在的电磁干扰; ·与单端放大器相比,接收器中的差分放大器有更高的增益; ·差分信号在一对紧耦合差分对中传输时,在返回路径中对付串扰和突变的鲁棒性更好; ·因为每个信号都有自己的返回路径,所以差分新信号通过接插件或封装时,不易受 到开关噪声的干扰; 但是差分信号也有其缺点:首先是会产生潜在的EMI,如果不对差分信号进行恰当的平衡或滤波,或者存在任何共模信号,就可能会产生EMI问题;其次是和单端信号相比,传输差分信号需要双倍的信号线。 如图2所示为差分对走线在PCB上的横截面。D为两个差分对之间的距离;s为差分对两根信号线间的距离;W为差分对走线的宽度;Ff为介质厚度。

使用差分对走线时,要遵循以下原则: ·保持差分对的两信号走线之间的距离S在整个走线上为常数; ·确保D>25,以最小化两个差分对信号之间的串扰; ·使差分对的两信号走线之间的距离S满足:S=3H,以便使元件的反射阻抗最小化; ·将两差分信号线的长度保持相等,以消除信号的相位差; ·避免在差分对上使用多个过孔,过孔会产生阻抗不匹配和电感。 图2 PCB上的差分对走线 以前,只有不到50%的电路板采用可控阻抗互连线,而现在这一比例已超过90%。如今有不到50%的电路板使用了差分对,相信在不久的将来,随着对差分对原理和设计规则的了解加深,将会有超过90%的电路板使用它 欢迎转载,信息来源维库电子市场网(https://www.doczj.com/doc/0b18737900.html,)

DDR走线规则

1.时钟信号 (1)差分布线,差分阻抗100欧姆,差分线误差±5mil。 (2)与其它信号的间距要大于25mil,而且是指edge to edge的间距 (3)CLK等长,误差±10mil。 2.数据信号: (1)数据信号分为八组,每组单独分开走线,第一组为DDR_DQ[0:7]、DDR_DQSP0、DDR_DQSN0、DDR_DQM0,以此类推,同组信号在同一层走线。 (2)DQ和DQM为点对点布线, (3)DQS为差分布线。差分线误差±5mil,差分阻抗100欧姆。 (4)组内间距要大于12mil,而且是指edge to edge的间距,同组内DQ与DQM以DQS为基准等长,误差±5mil。 (5)DQS与DDR2_CLKP等长,误差±5mil。 (6)不同组信号间距:大于20mil(edge to edge的间距) (7)DDR_CKN/P之间的并联100欧姆电阻,需要放置在信号一分二的分叉地方 (8)尽可能减少过孔 (9)叠层设计的时候,最好将每一层阻抗线宽,控制在差不多宽度 (10)信号走线长度,不超过2500mil 3.控制信号和地址信号: (1) 组内间距要大于12mil,而且是指edge to edge的间距 (2) 所有控制线须等长,误差±10mil。 (3 不同组信号间距:大于20mil(edge to edge的间距) 4.其它信号 DDR_VREF走线宽度20mil以上。 无论是PCB上使用芯片还是采用DIMM条,DDR和DDRx(包括DDR2,DDR4等)相对与传统的同步SDRAM的读写,我认为主要困难有三点: 1,时序。由于DDR采用双沿触发,和一般的时钟单沿触发的同步电路,在时序计算上有很大不同。DDR之所以双沿触发,其实是在芯片内部做了时钟的倍频(因为按照耐奎斯特准则,时钟频率应该至少是信号频率的2倍),对外看起来,数据地址速率和时钟一样。为了保证能够被判决一组信号较小的相差skew,DDR对数据DQ信号使用分组同步触发DQS信号,所以DDR上要求时序同步的是DQ

与EMI相关的Layout走线规则

与EMI相关的Layout走线规则 1、PCB不能有直角走线,直角走线会导致阻抗不连续,导致信号发射,从而产生振铃或过冲,形成强烈的EMI辐射。 2、PCB走线特别是时钟线与总线的粗细应保持一致,粗细不一致时,走线阻抗会发生突变,导致信号发射,从而产生振铃或过冲,形成强烈的EMI辐射。直角时或粗细不一致时,导线横截面积改变,阻抗突变,会导致反射(电流振荡,方波上升沿上升时间变短,上升沿变陡,缚利叶变换时下降沿越平滑,高频范围变大,EMI FAIL风险变大)造成能量的叠加,导致EMI noise。另外有五种端接方式都是为了阻抗匹配。 3、尽量避免相邻布线层的层设置,无法避免时,应使相邻布线层中相互垂直或平行走线长度小于1000mil,减小平行走线之间的串扰。会形成杂散电容。 4、如果单板有内部信号走线层,则时钟等关键信号线布在内层。将关键信号布在内部走线层可以起到屏蔽的作用。 5、时钟线两侧建议包地线,包地线每隔3000mil接地,保证各包地线上各点电位相等,使信号的回流路径走在预先设定好的地线上,避免crosstalk和coupling到其它线路。 6、时钟、总线、射频线等关键信号走线和其他同层平行走线应满足3W原则,避免信号之间的串扰。原理同第三条。 7、电流大于等于1A电源所用的表贴保险丝、磁珠、电感、钽电容的焊盘应不少于两个过孔接到平面层,减少过孔等效阻抗。两个wire孔下地可以减小电感。 8、差分信号线应同层,等长,并行走线,保持阻抗一致,差分线间无其它走线,保证差分线对的共模阻抗相等,提高其抗干扰能力。差分信号大小相等,方向相等,从EE方面,如果信号到达时间不等,会造成误操作,从EMI考虑,差分信号平行走线noise能相互抵消。 9、关键信号走线一定不能跨分割区走线(包括过孔,焊盘导致的参考平面间隙),跨分割区走线会导致信号回路面积的增大。 10、信号跨其回流平面分割的情况不可避免时,建议在信号跨分割附近采用桥接电容方式处理,电容取值为1nF。信号跨分割时,常常会导致其回路面积增大,采用桥接地方式是人为的为其设置信号回路。加电容是高频充当导线。 11、单板上的滤波器(滤波电路)下方不要有其他无关信号走线,分布电容会削弱滤波器的滤波效果。 12、滤波器(滤波电路)的输入,输出信号不能相互平行,交叉走线,避免滤波前后的走线

SATA高速差分信号设计规则

PCB设计挑战和建议作为PC、服务器和消费电子产品中重要的硬盘驱动器接口,串行ATA(SATA)发展迅猛并日益盛行。随着基于磁盘的存储在所有电子市场领域中变得越来越重要,系统设计工程师需要知道采用第一代SATA(1.5Gbps)和第二代SATA(3.0Gbps)协议的产品设计中的独特挑战。此外,系统设计工程师还需要了解新的SATA特性,以使其用途更广,功能更强,而不仅仅是简单地代替并行ATA。充分利用这些新特性并克服设计中存在的障碍,对成功推出采用SATA接口的产品非常关键。 日趋复杂的PCB布局布线设计对保证高速信号(如SATA)的正常工作至关重要。由于第一代和第二代SATA的速度分别高达1.5Gbps和3.0Gbps,因此铜箔蚀刻线布局的微小改动都会对电路性能造成很大的影响。SATA信号的上升时间约为100ps,如此快的上升时间,再加上有限的电信号传输速度,所以即使很短的走线也必须当成传输线来对待,因为这些走线上有很大部分的上升(或下降)电压。 高频效应处理不好,将会导致PCB无法工作或者工作起来时好时坏。为保证采用FR4 PCB板的SATA设计正常工作,必须遵守下面列出的FR4 PCB布局布线规则。这些规则可分为两大类:设计使用差分信号和避免阻抗不匹配。 高速差分信号设计规则包括: 1.SATA是高速差分信号,一个SATA连接包含一个发送信号对和一个接收 信号对,这些差分信号的走线长度差别应小于5mil。使差分对的走线长度保持一致非常重要,不匹配的走线长度会减小信令之间的差值,增加误码率,而且还会产生共模噪声,从而增加EMI辐射。差分信号线对应该 在电路板表层并排走线(微带线),如果差分信号线对必须在不同的层走 线,那么过孔两侧的走线长度必须保持一致。 2.差分信号线对的走线不能太靠近,建议走线间距是走线相对于参考平面高 度的6至10倍(最好是10倍)。 3.为减少EMI,差分对的走线间距不要超过150mil。 4.SATA差分对的差分阻抗必须为100欧姆。 5.为减少串扰,同一层其它信号与差分信号线对之间的间距至少为走线相对 于参考平面高度的10至15倍。 6.在千兆位传输速度的差分信号上不要使用测试点。 避免阻抗不匹配的设计规则包括:

详解差模电压和共模电压-简单易懂

差模电压与共模电压 我们需要的是整个有意义的“输入信号”,要把两个输入端看作“整体”。 就像平面坐标需要用 x,y 两个数表示,而到了高中或大学就只要用一个“数”v,但这个 v 是由 x,y 两个数构成的“向量”…… 而共模、差模正是“输入信号”整体的属性,差分输入可以表示为 vi = (vi+, vi-) 也可以表示为 vi = (vic, vid) c 表示共模, d 表示差模。两种描述是完全等价的。只不过换了一个认识角度,就像几何学里的坐标变换,同一个点在不同坐标系中的坐标值不同,但始终是同一个点。 运放的共模输入范围:器件(运放、仪放……)保持正常放大功能(保持一定共模抑制比 CMRR)条件下允许的共模信号的范围。 显然,不存在“某一端”上的共模电压的问题。但“某一端”也一样存在输入电压范围问题。而且这个范围等于共模输入电压范围。 道理很简单:运放正常工作时两输入端是虚短的,单端输入电压范围与共模输入电压范围几乎是一回事。 对其它放大器,共模输入电压跟单端输入电压范围就有区别了。例如对于仪放,差分输入不是 0,实际工作时的共模输入电压范围就要小于单端输入电压范围了。

可以通俗的理解为: 两只船静止在水面上,分别站着两个人,A和B。 A和B相互拉着手。当船上下波动时,A才能感觉到B变化的拉力。这两个船之间的高度差就是差模信号。 当水位上升或者下降时,A并不能感觉到这个拉力。 这两个船离水底的绝对高度就是共模信号。 于是,我们说A和B只对差模信号响应,而对共模信号不响应。当然,也有一定的共模范围了,太低会沉到水底,这样船都无法再波动了。太高,会使会水溢出而形成水流导致船没法在水面上停留 理论上,A和B应该只是对差模有响应 但实际上,由于船上下颠簸,A和B都晕了,明明只有共模,却产生了幻觉:似乎对方相对自己在动。这就说明,A和B内力较弱,共模抑制比不行啊。 当然,差模电压也不可以太大,否则会导致把A和B拉开。 主要是 “共模是两输入端的算术平均值,差模是直接的同相端与反相端的差值”。 共模电压应当是从源端看进来时,加到放大电路输入端的共同值,差模则是加到放大电路两个输入端的差值。 共模电压有直流的,也有交流的。直流的称为直流共模抑制(比),交流的称为交流共模抑制(比),统称共模抑制(比)。一般

差分信号PCB规则

什么是差分信号? 一个差分信号是用一个数值来表示两个物理量之间的差异。从严格意义上来讲,所有电压信号都是差分的,因为一个电压只能是相对于另一个电压而言的。在某些系统里,系统'地'被用作电压基准点。当'地'当作电压测量基准时,这种信号规划被称之为单端的。我们使用该术语是因为信号是用单个导体上的电压来表示的。 另一方面,一个差分信号作用在两个导体上。信号值是两个导体间的电压差。尽管不是非常必要,这两个电压的平均值还是会经常保持一致。我们用一个方法对差分信号做一下比喻,差分信号就好比是跷跷板上的两个人,当一个人被跷上去的时候,另一个人被跷下来了- 但是他们的平均位置是不变的。继续跷跷板的类推,正值可以表示左边的人比右边的人高,而负值表示右边的人比左边的人高。0 表示两个人都是同一水平。 图1 用跷跷板表示的差分信号 应用到电学上,这两个跷跷板用一对标识为V+和V-的导线来表示。当V+>V-时,信号定义成正极信号,当V+

高速信号走线规则

高速信号走线规则 随着信号上升沿时间的减小,信号频率的提高,电子产品的EMI问题,也来越受到电子工程师的关注。 高速PCB设计的成功,对EMI的贡献越来越受到重视,几乎60%的EMI问题可以通过高速PCB来控制解决。 规则一:高速信号走线屏蔽规则 在高速的PCB设计中,时钟等关键的高速信号线,走需要进行屏蔽处理,如果没有屏蔽或只屏蔽了部分,都是会造成EMI的泄漏。建议屏蔽线,每1000mil,打孔接地。如上图所示。 规则二:高速信号的走线闭环规则 由于PCB板的密度越来越高,很多PCB LAYOUT工程师在走线的过程中,很容易出现这种失误,如下图所示: 时钟信号等高速信号网络,在多层的PCB走线的时候产生了闭环的结果,这样的闭环结果将产生环形天线,增加EMI 的辐射强度。 规则三:高速信号的走线开环规则 规则二提到高速信号的闭环会造成EMI辐射,同样的开环同样会造成EMI辐射,如下图所示:

时钟信号等高速信号网络,在多层的PCB走线的时候产生了开环的结果,这样的开环结果将产生线形天线,增加EMI 的辐射强度。在设计中我们也要避免。 规则四:高速信号的特性阻抗连续规则 高速信号,在层与层之间切换的时候必须保证特性阻抗的连续,否则会增加EMI的辐射,如下图: 也就是:同层的布线的宽度必须连续,不同层的走线阻抗必须连续。 规则五:高速PCB设计的布线方向规则 相邻两层间的走线必须遵循垂直走线的原则,否则会造成线间的串扰,增加EMI辐射,如下图: 相邻的布线层遵循横平竖垂的布线方向,垂直的布线可以抑制线间的串扰。 规则六:高速PCB设计中的拓扑结构规则 在高速PCB设计中有两个最为重要的内容,就是线路板特性阻抗的控制和多负载情况下的拓扑结构的设计。在高速的情况下,可以说拓扑结构的是否合理直接决定,产品的成功还是失败。 如上图所示,就是我们经常用到的菊花链式拓扑结构。这种拓扑结构一般用于几Mhz的情况下为益。高速的拓扑结构我们建议使用后端的星形对称结构。

高速电路 接口电平最佳详解.

高速电路 (由于高速电路有很多参考资料,本文并不侧重全面讲述原理、各种匹配和计算方法,而是侧重评析一些高速电路的优缺点,并对常用电路进行推荐使用。) 一、高速信号简介: 常见的高速信号有几种:ECL电平、LVDS电平、CML电平 其中ECL电平根据供电的不同还分为: ECL――负电源供电(一般为-5.2v) PECL――正5V供电 LVPECL――正3v3供电,还有一种2.5V供电 一般情况下,常见的高速信号都是差分信号,因为差分信号的抗干扰能力比较强,并且自身产生的干扰比较小,能够传输比较高的速率。 二、几种常见的高速信号: 1、PECL电平 从发展的历史来说,ECL信号最开始是采用-5.2V供电的(为何采用负电源供电下面会详细说明),但是负电源供电始终存在不便,后来随着工艺水平的提升,逐渐被PECL 电平(5V供电)所替代,后来随着主流芯片的低电源供电逐渐普及,LVPECL也就顺理成章地替代了PECL电平。

PECL信号的输出门特点: A、输出门阻抗很小,一般只有4~5欧姆左右: a、输出的驱动能力很强;直流电流能达到14mA; b、同时由于输出门阻抗很小,与PCB板上的特征阻抗Z0(一般差分100欧姆),相差 甚远当终端不是完全匹配的时候,信号传到终端后必然有一定的反射波,而反射波传会到源端后,也不能在源端被完全匹配,这样必然发送二次反射。正因为存在这样的二次反射,导致了PECL信号不能传输特别高的信号。一般155M、622M的信号还都在使用PECL/LVPECL信号,到了2.5G以上的信号就不用这种信号了。 c、 B、PECL信号的回流是依靠高电平平面(即VCC)回流的,而不是低电平平面回流。所以, 为了尽可能的避免信号被干扰,要求电源平面干扰比较小。也就是说,如果电源平面干扰很大,很可能会干扰PECL信号的信号质量。 a、这就是ECL信号出现之初为何选用负电源供电的根本原因。一般情况下,我们认为 GND平面是比较干净的平面。因为我们可以通过良好的接地来实现GND的平整(即干扰很小)。 b、从这个角度来说,PECL信号和LVPECL信号都是容易受到电源(VCC)干扰的,所以 必须注意保证电源平面的噪声不能太大。 C、对于输出门来说,P/N二个管脚不管输出是高还是低,输出的电流总和是一定的(即恒 流输出)。恒流输出的特性应该说是所有的差分高速信号的共同特点(LVDS/CML电平也是如此)。这样的输出对电源的干扰很小,因为不存在电流的忽大忽小的变化,这样对电源的干扰自然就比较小。而普通的数字电路,如TTL/CMOS电路,很大的一个弊病就是干扰比较大,这个干扰大的根源之一就是对电源电流的需求忽大忽小,从而导致供电平面的凹陷。 D、PECL的直流电流能达到14mA,而交流电流的幅度大约为8mA(800mV/100ohm),也就 是说PECL的输出门无论是输出高电平还是低电平,都有直流电流流过,换一句话说PECL 的输出门(三极管)始终工作在放大区,没有进入饱和区和截至区,这样门的切换速度就可以做得比较快,也就是输出的频率能达到比较高的原因之一。 下面是PECL电平的输入门结构: 其中分为二种:一种是有输入直流偏置的,一种是没有输入直流偏置,需要外接直流偏置的。 一般情况下,ECL/PECL/LVPECL信号的匹配电阻(差分100欧姆)都是需要外加的,芯片内部不集成这个电阻。 大家可以看到,VCC-1.3V为输入门的中间电平(即输入信号的共模电压),对于LVPECL 来说大约为2V,对于PECL来说为3.7V。 也就是说,我们要判断一个PECL/LVPECL电平输入能否被正常接收,不仅要看交流幅度能否满足输入管脚灵敏度的要求,而且要判断直流幅度是否在正常范围之内(即在VCC-1.3V 左右,不能偏得太大,否则输入门将不能正常接收)。在这一点上与LVDS有很大的差别,务必引起注意。

AD布线规则(自己整理)

一、PCB板的元素 1、工作层面 对于印制电路板来说,工作层面可以分为6大类, 信号层(signal layer) 内部电源/接地层(internal plane layer) 机械层(mechanical layer)主要用来放置物理边界和放置尺寸标注等信息,起到相应的提示作用。EDA软件可以提供16层的机械层。 防护层(mask layer)包括锡膏层和阻焊层两大类。锡膏层主要用于将表面贴元器件粘贴在PCB上,阻焊层用于防止焊锡镀在不应该焊接的地方。 丝印层(silkscreen layer)在PCB板的TOP和BOTTOM层表面绘制元器件的外观轮廓和放置字符串等。例如元器件的标识、标称值等以及放置厂家标志,生产日期等。同时也是印制电路板上用来焊接元器件位置的依据,作用是使PCB板具有可读性,便于电路的安装和维修。 其他工作层(other layer)禁止布线层Keep Out Layer 钻孔导引层drill guide layer 钻孔图层drill drawing layer 复合层multi-layer 2、元器件封装 是实际元器件焊接到PCB板时的焊接位置与焊接形状,包括了实际元器件的外形尺寸,所占空间位置,各管脚之间的间距等。 元器件封装是一个空间的功能,对于不同的元器件可以有相同的封装,同样相同功能的元器件可以有不同的封装。因此在制作PCB板时必须同时知道元器件的名称和封装形式。 (1)元器件封装分类 通孔式元器件封装(THT,through hole technology) 表面贴元件封装(SMT Surface mounted technology) 另一种常用的分类方法是从封装外形分类:SIP单列直插封装 DIP双列直插封装 PLCC塑料引线芯片载体封装 PQFP塑料四方扁平封装 SOP小尺寸封装 TSOP薄型小尺寸封装 PPGA塑料针状栅格阵列封装 PBGA塑料球栅阵列封装 CSP芯片级封装 (2)元器件封装编号 编号原则:元器件类型+引脚距离(或引脚数)+元器件外形尺寸 例如AXIAL-0.3DIP14RAD0.1RB7.6-15等。 (3、铜膜导线是指PCB上各个元器件上起电气导通作用的连线,它是PCB设计中最重要的部分。对于印制电路板的铜膜导线来说,导线宽度和导线间距是衡量铜膜导线的重要指标,这两个方面的尺寸是否合理将直接影响元器件之间能否实现电路的正确连接关系。 印制电路板走线的原则: ◆走线长度:尽量走短线,特别对小信号电路来讲,线越短电阻越小,干扰越小。 ◆走线形状:同一层上的信号线改变方向时应该走135°的斜线或弧形,避免90°的拐角。

SDRAM 类高速器件布线规则

Learn to walk first before you want to run…SDRAM 类高速器件布线规则 一个优秀的Layout,一块好的板子,并不是随便布线连同就可以实现电路要求的,凡事都得谨慎,此处别处摘要,讲述SDRAM类高速器件布线规则: 如果你没有信号完整性的知识和对传输线的认识,恐怕你很难看懂,如果你看不懂,那么请按这样一个通用的基本法则做: (1)DDR和主控芯片尽量靠近 (2)高速约束中设置所有信号、时钟线等长(最多允许50mils的冗余),所有信号、时钟线长度不超过1000mils (3)尽量0过孔,元件层下面一定要有一个接地良好的地层,所有走线不能跨过地的分割槽,即从元件层透视地层看不到与信号线交叉的地层分割线。 这样的话200M的DDR基本上是没有太大问题。其它的一些3W 20H法则就能做到尽量做到吧 3W原则: 这里3W是线与线之间的距离保持3倍线宽。你说3H也可以。但是这里H指的是线宽度。不是介质厚度。是为了减少线间串扰,应保证线间距足够大,如果线中心距不少于3倍线宽时,

则可保持70%的线间电场不互相干扰,称为3W规则。如要达到98%的电场不互相干扰,可使用10W规则。针对EMI(电磁干扰:eg传导、辐射、谐波) 20H原则: 是指电源层相对地层内缩20H的距离,当然也是为抑制边缘辐射效应。在板的边缘会向外辐射电磁干扰。将电源层内缩,使得电场只在接地层的范围内传导。有效的提高了EMC。若内缩20H则可以将70%的电场限制在接地边沿内;内缩100H则可以将98%的电场限制在内。针对EMC(电磁兼容) 五---五规则: 印制板层数选择规则,即时钟频率到5MHz或脉冲上升时间小于5ns,则PCB板须采用多层板,这是一般的规则,有的时候出于成本等因素的考虑,采用双层板结构时,这种情况下,最好将印制板的一面做为一个完整的地平面层。 对于“五五规则”的时钟频率到5MHz或脉冲上升时间小于5ns,此处我严重不理解。。。时钟信号:以地平面为参考,给整个时钟回路的走线提供一个完整的地平面, 给回路电流提供一个低阻抗的路径。由于是差分时钟信号,在走线前应预先设计好线宽线距,计算好差分阻抗,再按照这种约束来进行布线。所有的DDR差分时钟信号都必须在关键平面上走线,尽量避免层到层的转换。线宽和差分间距需要参考DDR控制器的实施细则,信号线的单线阻抗应控制在50~60 Ω,差分阻抗控制在100~120 Ω。时钟信号到其他信号应保持在20 mil*以上的距离来防止对其他信号的干扰。蛇形走线的间距不应小于20 mil。串联终端电阻RS值在15~33Ω,可选的并联终端电阻RT值在25~68 Ω,具体设定的阻值还是应该依据信号完整性仿真的结果。 数据信号组:以地平面为参考,给信号回路提供完整的地平面。特征阻抗控制在50~60 Ω。线宽要求参考实施细则。与其他非DDR信号间距至少隔离20 mil。长度匹配按字节通道为单位进行设置,每字节通道内数据信号DQ、数据选通DQS和数据屏蔽信号DM长度差应控制在±25 mil内(非常重要),不同字节通道的信号长度差应控制在1 000 mil 内。与相匹配的DM和DQS串联匹配电阻RS值为0~33 Ω,并联匹配终端电阻RT值为25~68Ω。如果使用电阻排的方式匹配,则数据电阻排内不应有其他DDR信号。 地址和命令信号组:保持完整的地和电源平面。特征阻抗控制在50~60 Ω。信号线宽参考具体设计实施细则。信号组与其他非DDR信号间距至少保持在20 mil

相关主题
文本预览
相关文档 最新文档