当前位置:文档之家› 小学五年级逻辑思维学习—位值原理与数的进制

小学五年级逻辑思维学习—位值原理与数的进制

小学五年级逻辑思维学习—位值原理与数的进制
小学五年级逻辑思维学习—位值原理与数的进制

小学五年级逻辑思维学习—位值原理与数的进制

知识定位

本讲是数论知识体系中的两大基本问题,也是学好数论知识所必须要掌握的知识要点。通过本讲的学习,要求学生理解并熟练应用位值原理的表示形式,掌握进制的表示方法、各进制间的互化以及二进制与实际问题的综合应用。并学会在其它进制中位值原理的应用。从而使一些与数论相关的问题简单化。

知识梳理

一、位值原理

位值原理的定义:同一个数字,由于它在所写的数里的位置不同,所表示的数值也不同。也就是说,每一个数字除了有自身的一个值外,还有一个“位置值”。例如“2”,写在个位上,就表示2个一,写在百位上,就表示2个百,这种数字和数位结合起来表示数的原则,称为写数的位值原理。

二、数的进制

我们常用的进制为十进制,特点是“逢十进一”。在实际生活中,除了十进制计数法外,还有其他的大于1的自然数进位制。比如二进制,八进制,十六进制等。

二进制:在计算机中,所采用的计数法是二进制,即“逢二进一”。因此,二进制中只用两个数字0和1。二进制的计数单位分别是1、21、22、23、……,二进制数也可以写做展开式的形式,例如100110在二进制中表示为:(100110)

=1×25+0×24+0×23+1×22+1×21+0×20。

2

二进制的运算法则是“满二进一”、“借一当二”,乘法口诀是:零零得零,一零得零,零一得零,一一得一。

注意:对于任意自然数n,我们有n0=1。

n进制:n进制的运算法则是“逢n进一,借一当n”,n进制的四则混合运算和十进制一样,先乘除,后加减;同级运算,先左后右;有括号时先计算括号内的。

例题精讲

【题目】某三位数abc和它的反序数cba的差被99除,商等于与的差;ab与ba的差被9除,商等于与的差;ab与ba的和被11除,商等于与的和。

【题目】如果ab×7= ,那么ab等于多少?

【题目】从1~9九个数字中取出三个,用这三个数可组成六个不同的三位数。若这六个三位数之和是3330,则这六个三位数中最小的可能是几?最大的可能是几?

【题目】用1,9,7三张数字卡片可以组成若干个不同的三位数,所有这些三位数的平均值是多少?

【题目】a,b,c分别是0~9中不同的数码,用a,b,c共可组成六个三位数字,如果其中五个数字之和是2234,那么另一个数字是几?

【题目】在两位自然数的十位与个位中间插入0~9中的一个数码,这个两位数就变成了三位数,有些两位数中间插入某个数码后变成的三位数,恰好是原来两位数的9倍。求出所有这样的三位数。

【题目】已知1370,abcd abc ab a abcd +++=求,求1370,abcd abc

ab a abcd +++=求。

【题目】如果把数码5加写在某自然数的右端,则该数增加1111A ,这里A 表示一个看不清的数码,求这个数和A 。

【题目】如果一个自然数的各个数码之积加上各个数码之和,正好等于这个自然数,我们就称这个自然数为“巧数”。例如,99就是一个巧数,因为9×9+(9+9)=99。可以证明,所有的巧数都是两位数。请你写出所有的巧数。

【题目】将四位数的数字顺序重新排列后,可以得到一些新的四位数。现有一个四位数码互不相同,且没有0的四位数M ,它比新数中最大的小3834,比新数中最小的大4338。求这个四位数。

【题目】一辆汽车进入高速公路时,入口处里程碑上是一个两位数,汽车匀速行使,一小时后看到里程碑上的数是原来两位数字交换后的数。又经一小时后看到里程碑上的数是入口处两个数字中间多一个0的三位数,请问:再行多少小时,可看到里程碑上的数是前面这个三位数首末两个数字交换所得的三位数。

【题目】计算:①(234)

7+(656)

7

;②(111001)2×(1011)2

【题目】将二进制数(11010.11)2化为十进制数为多少?

【题目】二进制数10101011110011010101101转化为8进制数是多少?

【题目】将二进制数11101001.1011转换为十六进制数。

【题目】某数在三进制中为12120120110110121121,则将其改写为九进制,其从左向右数第l位数字是几?

【题目】现有1克,2克,4克,8克,16克的砝码各1枚,问在天平上能称多少种不同重量的物体?

【题目】在6进制中有三位数abc,化为9进制为cba,求这个三位数在十进制中为多少?

【题目】N是整数,它的b进制表示是777,求最小的正整数b,使得N是十进制整数的四次方.

【题目】试求(22006-1)除以992的余数是多少?

习题演练

【题目】有一个两位数,如果把数码1加写在它的前面,那么可得到一个三位数,如果把1加写在它的后面,那么也可以得到一个三位数,而且这两个三位数相差414,求原来的两位数。

【题目】将一个四位数的数字顺序颠倒过来,得到一个新的四位数(这个数也叫原数的反序数),新数比原数大8802。求原来的四位数。

【题目】某校的学生总数是一个三位数,平均每个班35人。统计员提供的学生总数比实际总人数少270人。

原来,他在记录时粗心地将这个三位数的百位与十位的数字对调了。这个学校学生最多是多少人?

【题目】把下列各数转换成十进位制数:(1)(21012)3, (2)(3702)8, (3)(7215)12

【题目】八进制的abc与七进制的cba相等,求a、b、c。

【题目】一袋花生共有2004颗,一只猴子第一天拿走一颗花生,从第二天起,每天拿走的都是以前各天的总和.

①如果直到最后剩下的不足以一次拿走时却一次拿走,共需多少天?

②如果到某天袋里的花生少于已拿走的总数时,这一天它又重新拿走一颗开始,按原规律进行新的一轮.如此继续,那么这袋花生被猴子拿光的时候是第几天?

60进制计数器课程设计报告

电子技术基础实验 课程设计 60进制计数器

一、实验目的 (一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。 (二)熟悉555集成定数器芯片的引脚图。 (三)利用74LS161和555定时器构成60进制计数器。 (四)在Multisim软件中仿真60进制计数器。 二、实验容 (一)集成计数器74LS161逻辑功能验证。 (二)用555定时器构成多谐振荡器。 (三)用两片74LS161和555定时器构成60进制计数器。 三、集成计数器介绍 (一)集成计数器74LS161管脚介绍 74LS161是4位二进制同步加法计时器。图1为它的管脚排列图,集成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控制端(低电平有效)。CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT是计数器使能端,高电平有效。A、B、C、D是数据输入端; QA、QB、QC、QD是数据输出端。

图1 74LS161管脚排列图 (二)集成计数器74LS161功能介绍 由表1可知,74LS161具有以下功能: 1.异步清零。当CLR=0时,无论其他各输入端的状态如何,计数器均被直接置“0”。 2.同步预置数。当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。 3.保持(禁止)。CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,计数器都将保持原有的状态不变(停止计数)。 4.计数。CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。 表1 74LS161功能表

一年级数学逻辑思维训练

一年级思维训练题 1 班级 ___________ 姓名 _________ 1、 晾晒1块手帕,要用2只夹子;2块手帕,要用3只夹子;11块手帕,要用( )只夹子。 2、 老师带了一些小朋友去看电影,一共买了 11张票。问和老师一起看电影的有( )个小朋 友。 ( )+( )-( )=( ) 5、 小朋友排队。小平的左面有4个人,右面有8个人。这一行有( )个人。 6、 小朋友排队。从左数过来小平是第 4个,从右数过来是第8个。这一行有( )个人 7、 按规律写数。 8、 15、10、13、12、11、( )、( 1、4、3、6、5、( )、( ) 1、 2、4、8、( )、( ) 8 、 □ □ ()个 □ □ 正方形 □ □ 9、小明、小林和小红一起比体重,结果是小明比小林重,小林比小红重,小明比小红重。他们三人 中( )最重,( )最轻 10、小明、小红、小林进行100米跑步比赛。小明用了 13秒,小林用了 12秒,小红用了 11秒。那 么,( )是第一,( )是第二。 11、强强的体重是27千克,芳芳的体重是25千克。东东的体重居于第三, 一年级思维训练题 2 班级 ___________ 姓名 _________ 1、 小猫、小狗、小兔、小猴、小熊排成一横排做广播操:兔的左边是狗;猴在熊的左边;猫的右边 是狗;猴在兔的右边。( )排在队伍的最左边。 2、 1、2、4、5、7、8、( )、( ) 15、1、12、1、9、( )、( )、( )、( ) 75 、( )、( )、60、( )、50、( )、( )、( ) 3、8名女同学站成一排,每隔 2名女同学插进3名男同学,共插进( )名男同学 4、把2、3、4、5分别填入( )中,每个数只能用一次。 他和强强体重相差 5千克,东东的体重是( )千克 ( )个长方形

60进制计数器设计

《数字电子技术基础》课程设计任务书 专业:16电气工程及其自动化 班级:专升本二班 学号:160732060 姓名:王冬 指导教师:耿素军 二零一六年十二月二十七日

目录 1、计数器的概述 (3) 2、六十进制计数器 (4) 2.1设计要求 (4) 2.2设计方案框架图 (4) 3、六十进制计数器设计描述 (5) 3.1设计的思路 (5) 3.2设计的实现 (7) 4、六十进制计数器的仿真设计与仿真的结果 (10) 4.1基本电路分析仿真设计 (11) 4.2 计数器电路的仿真的结果 (12) 5、心得体会 (13) 6、参考文献 (13)

1、计数器概述 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。 在数字电子技术中应用的最多的时序逻辑电路。计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行数字运算等。但是并无法显示计算结果,一般都是要通过外接LCD或LED屏才能显示。 计数器的种类 1.按照计数器中的触发器是否同时翻转分类,可将计数器分为同步计数器和异步计数器两种。 2.按照计数过程中数字增减分类,又可将计数器分为加法计数器、减法计数器和可逆计数器,随时钟信号不断增加的为加法计数器,不断减少的为减法计数器,可增可减的叫做可逆计数器。

一年级逻辑思维练习题

一年级逻辑思维练习题 1. 用1,2,3,4,5,6 六个数字完成下面的算式: ( )+ ( )= ( )+ ( )= ( )+ ( ) 2. 计算: 1 + 2 + 3 + 4 + 5 + 6 + 7 + 8 + 9 = 3. 用3、0、8 这三个数可以组成个数字不重复的三位数。 4.王老师有12元钱,正好买一支钢笔和2本笔记本,如果只卖1支钢笔,还剩6元钱。请问1本笔记本多少钱? 答:1本笔记本元钱。 5.2个苹果之间有2个梨,5个苹果之间有个梨。 6. 篮子里有10个红萝卜,小灰兔吃了其中的一半少两个,小白兔吃了2个,还剩下几个? 7找规律填空: 8. 3只猫同时吃3只老鼠用7分钟,6只猫同时吃6只老鼠用 分钟。 9. 美羊羊被灰太狼抓走了,喜羊羊要去灰太狼家救美羊羊。喜羊羊从羊村出发,走了5米突然想到自己没有带村长给的隐性药水,又返回羊村去取,然后再去救美羊羊。从羊村道灰太狼家一共20米的路,那喜羊羊要把美羊羊成功带回羊村,一共要走多少米的路?

10. 有2盒糖果,第一盒里有20颗糖果,从第二盒里拿出8颗放到第 一盒里,两个盒子里面一样多,请问第二盒里面原来有多少糖果?11. 找规律填数字: 20, 1,19,3, 18, 5,(),() 12. 一本书的页码从1到9,也就是这本书一共有9页。灰太狼在把 这本书每一页的页码累加起来时,有一个页码被错误的多加了一次。 结果,得到的和是50。这个被多加了一次的页码是()。 13. 兔宝宝很聪明,答对了邮票商人的问题。商人奖励了他一张邮票。这张邮票图案如下, 图中共有()个3角形。 14. 1只猫=( )只鸭子 15 数一数,一共有()个长方形。 16 接着画: 17 弟弟今年8岁,哥哥今年15岁,7年后,哥哥比弟弟大()岁。 18 小林参加比赛,她和其他选手握了7次手,参加比赛的一共有()个人。

一年级逻辑思维训练题

一年级逻辑思维训练题公司内部编号:(GOOD-TMMT-MMUT-UUPTY-UUYY-DTTI-

一年级逻辑思维训练题 学校班级姓名成绩 1、小红有15本书,小东有7本书,小红给小东几本书,两个人的书就同样多 2、一头猪换2只羊,一只羊换4只兔,2只羊换几只兔,1头猪换几只兔 3、3个小朋友下棋,每人都要与其他两人各下一盘,他们共要下几盘 4、林林前面有12人,后面有9人,这一排一共有几个人 5、小红的左边有5人,右边有13人,这一行一共有几个人 一年级逻辑思维训练题二: 1、15个小朋友排成一队,小东的前面有9人,小东后面有几人 2、14个同学站成一队做操,从前面数张兵是第6个,从后面数他是第几个 3、13只鸡排成一队,其中有只大公鸡,从前面数,它站在第8,它的后面有几只鸡 4、13只鸡排成一队,其中有只大公鸡,它的前面有8只鸡,它的后面有几只鸡

5、有两篮苹果,第一篮25个,第二篮19个,从第一篮中拿几个放入第二篮,两篮的 苹果数相等 一年级逻辑思维训练题三: 1、小力有18张画片,送给小龙3张后,两人的画片同样多。小龙原来有几张画片 2、小华给小方8枚邮票后,两人的邮票枚数同样多,小华原来比小方多几格邮票 3、大林比小林多做15道口算题,小明比小林多做6道口算题,大林比小明多做几道口算题 4、小花今年6岁,爸爸对小花说:“你长到10岁的时候,我正好40岁。”爸爸今年多少岁 5、动物园里有只长颈鹿,它的年龄数是用最大的两位数减去最小的两位数,再减去最大的一位数后所得的数。这只长颈鹿有多少岁以上就是小编整理的关于小学一年级的一些逻辑思维训练题,不知道各位小朋友做对了没有呢培养孩子的逻辑思维训练是非常重要的,它事关以后的数学学习。各位家长不妨报名参加至慧学堂的Junior(7-9岁小学生)数学培训班,轻松锻炼孩子的逻辑思维能力,开拓逻辑思维, 帮助学生步步领先,取得好的成绩。有兴趣的家长可以在线咨询我们的工作人员哦。

小学一年级奥数(思维训练)知识点

一年级学生的认知结构分析 认知结构分析: 小学一年级还处于对数学基本元素和概念的感性认识上,因此,重点是兴趣培养。让孩子对数学感兴趣,孩子就有了"最好的老师",在以后的学习中可以省力不少。所以为了培养学生良好的数学思考力和较好的数学意识、数学眼光,所应当采取的主要授课方式是以“公式韵律化、解题故事化、教学游戏化、学习趣味化”为教学特色,通过风趣的教学语言,生动有效的教学方式,将学生带入迷人的数学世界,使学生的数学推理及逻辑思维能力得到培养,思维得到拓展,成绩做到拔尖。例如:一年级学生计算:1+2=3 可以设计这样的题:你能想出哪些算式的结果也等于3 呢. 前者是顺向思维,而后者就是逆向思维了。启发学生思维,久而久之,学生受益良多。 一年级学习奥数的目的: 在于培养学生学习数学的兴趣与感觉,力求图文并茂,由较多的图画自然地向较多的数学言语与文字叙述过渡。 以上仅供参考!

小学一年级奥数(思维训练)知识点 1、认数、写数及简单的分类 1)认数:根据图形说出对应的数目 2)写数:根据不同类型的图形写出所对应的数字 3)简单的分类:实物的分类、图形的分类 (重在训练多种分类方法) 主要是让学生从课内知识到思维训练知识的学习有一个过渡阶段。 2、认识图形(是数图形的基础) 1)认识点 2)认识线:线段、射线、直线、平行、和相交 3)认识角:锐角、直角、钝角 4)认识常见的集合图形:三角形(锐角、直角、钝角)、正方形、长方形、圆形及其他多边形(梯形、平行四边形)5)认识常见的立体图形:正方体、长方体、球体、圆柱体等 3、数一数 1)数线段: 2)角:3)三角形: 4)正方形:5)长方形:

一年级数学逻辑思维训练题汇总

一年级数学逻辑思维分类试题 一.看图数一数 1、根据数字接着继续画。 数字9 :△△△___________________ 数字4 :☆☆☆__________________ 数字8 :□□□□□_______________ 2. 根据以下图片写出数字 ()()()()3、下图中每种水果各代表一个数,算一算,它们各代表几? + = 7,+= 10,+= 9 =()=()=() 4、 1).已知:☆+☆+☆=6,△+△+△+△=20,则△-☆=( ) 2).已知:△+○=14,△-○=2 ,则△=( ) ○=( ) 3).已知:▲=●+●+●,▲+●=12,则●=(),▲=() 4).已知:△ + ○ = 5,○ + ☆ = 9,△ + ○ + ☆ = 13 △ =( ) ○= ( ) ☆=( ) 5). 已知如下图形,请确认括号中的数字是多少?

6)如下图,可以看出“?”处代表几? 7). 如下图,可以看出“?”外代表几? 8).根据下图填空。 5、四种球,谁重谁轻? >>>6、填空。 第一行有()把伞, 第二行有()把伞, 第二行比第一行多()把, 从第二行移()把到第一行,两行的伞就同样多。 7.比较大小,在○里填上<、>或=。 17-△=13 17-□=12 ,比较大小:△○□ △-☆=10 □-☆=8 ,比较大小:△○□

二.数的排列以及找规律 1、下面各组数中,交换哪两个数字的位置,数的排列顺序就正确了?(1)1、 2、5、4、3 (2)29、28、27、25、26 (3)64、67、66、65、68 2. 根据以下数据和规律,在括号内填写正确的数字 (1)2,4,6,(),10,12; (2)1,2,4,7,(),16,22,29; (3)1,2,3,5,(),(),21。 (4)1、2、4、5、7、8、10、()、() (5)2、3、5、8、13、() (6)15、10、13、10、11、10、()、()、7、10 (7)3、4、7、11、18、()、() (8)8、8、10、6、12、4、()、() 3. 找出规律,“?”处应填几? 4. 找出规律,空白处的数字处应填几? 5、根据规律填出○里的数字,试试看。

verilog程序-60进制计数器

module count60_dongtai_LED ( input clk, input rest_n, output reg [2:0] sel, //位选 output reg [6:0] display ); reg [15:0] count_clk; // 分频计数器,最大2^16=64K分频 reg [5:0] sum_num; //计数缓存器,2^6=64 reg [3:0] g_bit; //个位 reg [3:0] s_bit; //十位 reg [3:0] disp_temp; //分频 always @ (posedge clk or negedge rest_n) begin if(rest_n ==0) begin count_clk=16'b0; end else begin if(count_clk==16'hffff) begin count_clk=16'b0; end else begin count_clk=count_clk+1'b1; end end end // 60进制计数 always @ (negedge count_clk[3] or negedge rest_n) begin // clk_clk[3] 对"clk" 16分频if(rest_n ==0) begin g_bit=4'b0; s_bit=4'b0; sum_num=6'b0; end else begin if (sum_num==6'd59) begin sum_num=6'b0; end else begin sum_num=sum_num+1'b1; end end s_bit=(sum_num/10)%10;

完整一年级小学生逻辑思维100题

1.哥哥有4个苹果,姐姐有3个苹果,弟弟有8个苹果,哥哥给弟弟1个后,弟弟吃了3个,这时谁的苹果多? 2.小明今年6岁,小强今年4岁,2年后,小明比小强大几岁? 3.同学们排队做操,小明前面有4个人,后面有4个人,这一队一共有多少人? 4.有一本书,小华第一天看了2页,以后每一天都比前一天多看2页,第4天看了多少页? 5.同学们排队做操,从前面数,小明排第4,从后面数,小明排第5,这一队一共有多少人? 6.有8个皮球,如果男生每人发一个,就多2个,如果女生每人发一个,就少2个,男生有多少人,女生有多少人?7.老师给9个三好生每人发一朵花,还多出1朵红花,老师共有多少朵红花? 8.有5个同学投沙包,老师如果发给每人2个沙包就差1个,老师共有多少个沙包? 9.刚刚有9本书,爸爸又给他买了5本,小明借去2本,刚刚还有几本书? 10.一队小学生,李平前面有8个学生比他高竺嬗?个学生比他矮,这队小学生共有多少人? 11.小林吃了8块饼干后,小林现在有4块饼干,小林原来

有多少块饼干? 12.哥哥送给弟弟5支铅笔后,还剩6支,哥哥原来有几支铅笔? 13.第二中队有8名男同学,女同学的人数跟男同学同样多,第二中队共有多少名同学? 14.大华和小刚每人有10张画片,大华给小刚2张后,小刚比大华多几张? 15.猫妈妈给小白5条鱼,给小花4条鱼,小白和小花共吃了6条,它们还有几条? 16.同学们到体育馆借球,一班借了9只,二班借了6只。体育馆的球共减少了几只? 17.明明从布袋里拿出5个白皮球和5个花皮球后,白皮球剩下10个,花皮球剩下5个。布袋里原来有多少个白皮球,多少个花皮球? 18.芳芳做了14朵花,晶晶做了8朵花,芳芳给晶晶几朵花,两人的花就一样多? 19.妈妈买回一些鸭蛋和12个鸡蛋,吃了8个鸡蛋后,剩下的鸡蛋和鸭蛋同样多,问妈妈一共买回几个蛋? 20.草地上有10只羊,跑走了3只白山羊,又来了7只黑山羊,现在共有几只羊? 21.冬冬有5支铅笔,南南有9支铅笔,冬冬再买几支就和

verilog实验60进制计数器

module counter60(clk_in,clkout,rst,out); input clk_in,rst; output [6:0] out; output clkout; reg [6:0] out1; reg [6:0] out2; reg [3:0] cnth; reg [3:0] cntl; reg [7:0] cnt; always @(posedge clk_in) begin if(!rst) cnt<=8'd0; else cnt<=cnt+8'd1; end assign clkout=cnt[4]; always @(posedge clkout or negedge rst) begin if(!rst) {cnth,cntl}<=8'd0; else if(cnth==5&&cntl==9) {cnth,cntl}<=8'd0; else if(cntl==4'd9) begin cntl<=4'd0; cnth<=cnth+4'd1; end else cntl<=cntl+4'd1; end always @(cnth) begin case(cnth) 4'd0:out1=7'b011_1111;//0 4'd1:out1=7'b000_0110;//1 4'd2:out1=7'b101_1011;//2 4'd3:out1=7'b100_1111;//3 4'd4:out1=7'b110_0110;//4 4'd5:out1=7'b110_1101;//5 default:out1=7'b011_1111;//0 endcase end

小学一年级奥林匹克数学卷25套+应用题专项训练6套+逻辑思维专项训练1套

小学一年级奥林匹克数学题 试卷1(30分钟) 1.按规律填数。(30分) (1) 1、4、9、16、()、36、()。(2) 1、6、16、31、()、()。 (3) 5、6、8、11、()、()。(4) 1+3+5+7+9=()。 (5) 7+8+9+11+12+13=()。(6) 11+13+15+17+19=()。 2.猜一猜,每个算式中的汉字各表示几?(25分,第一题10分,第二题15 分) (1)4爱+数2=70,70-2学=45。所以,爱=(),数=(),学=()。 算法: (2)3好+朋8=8友,8友-好6=27。所以,好=(),朋=(),友=()。 算法: 3.1个西瓜的重量=3个菠萝的重量,1个菠萝的重量=3个梨的重量,那么1 个西瓜的重量=()个梨的重量。(10分) 列式: 4.14个小朋友玩捉迷藏,已经捉住了4个小朋友,还藏着()个小朋友。 (15分)

列式: 5.十位数字和个位数字相加,和是12的两位数有()个。(20分)列出:

试卷2(30分钟) 1.小动物举行运动会,小兔、小鹿参加50米的赛跑。小兔用12秒,小鹿用8秒。()跑得快,快()秒。(10分) 想法:列 式: 2.9个小朋友做运球游戏,第一个小朋友把球从东边运到西边,第二个小朋友接着把球从西边运回东边,第三个小朋友又接下去……最后球是在()边,如果有12个小朋友做这个游戏,最后球在()边。(15分) 想法:规 律: 3.8名女孩站一排,每隔2名女孩插进3名男孩,共插进()名男孩。(15分) 想法:列 式: 4.妈妈从家到单位上班,要经过电影院。从家到电影院有2条路,从电影院到单位有3条路。妈妈从家到单位有()种走法。(20分) 想法:列 式:

一年级数学逻辑思维

班级 姓名 1、晾晒1块手帕,要用2只夹子;2块手帕,要用3只夹子;11块手帕,要用( ) 只夹子。 2、老师带了一些小朋友去看电影,一共买了11张票。问和老师一起看电影的有( ) 个小朋友。 3、8名女同学站成一排,每隔2名女同学插进3名男同学,共插进( )名男同学。 4、把2、3、4、5分别填入( )中,每个数只能用一次。 ( )+( )-( )=( ) 5、小朋友排队。小平的左面有4个人,右面有8个人。这一行有( )个人。 6、小朋友排队。从左数过来小平是第4个,从右数过来是第8个。这一行有( ) 个人。 7、按规律写数。 8、 15、10、13、12、11、( )、( ) 1、4、3、6、5、( )、( ) 1、 2、4、8、( )、( ) 8、 ( )个正方形 ( )个长方形 9、小明、小林和小红一起比体重,结果是小明比小林重,小林比小红重,小明比小红重。 他们三人中( )最重,( )最轻。 10、小明、小红、小林进行100米跑步比赛。小明用了13秒,小林用了12秒,小红用了 11秒。那么,( )是第一,( )是第二。 11、强强的体重是27千克,芳芳的体重是25千克。东东的体重居于第三, 他和强强体重相差5千克,东东的体重是( )千克。

班级姓名 1、小猫、小狗、小兔、小猴、小熊排成一横排做广播操:兔的左边是狗;猴在熊的左边; 猫的右边是狗;猴在兔的右边。()排在队伍的最左边。 2、1、2、4、5、7、8、()、() 15、1、12、1、9、()、()、()、() 75、()、()、60、()、50、()、()、() 10、5、9、6、8、7、7、()、()、() 3、拔河比赛结束后老师买了些饮料给同学们喝,可乐比雪碧多4瓶,可乐比芬达多6瓶。 老师买的是()多,多()瓶。 4、举行跳绳比赛。秋秋跳得比丁丁少,小牛跳得比阿婷多,比秋秋少。 第一名:()第二名:()第三名:()第四名:() 里填上“+”或“-”,使算式成立。 1=1 1=21 1=11 1=9 1=15 6、你能把0、1、2、3、7、8、9填入下面的算式,使等式成立吗? + 7、6()3()2()7() +5-7+57-48 ()3()48()2() ()8()8()8()() +1()-3()-8()-()7 7664926

一年级数学逻辑思维训练

一年级思维训练题1 班级姓名 1、晾晒1块手帕,要用2只夹子;2块手帕,要用3只夹子;11块手帕,要用()只夹子。 2、老师带了一些小朋友去看电影,一共买了11张票。问和老师一起看电影的有()个小朋 友。 3、8名女同学站成一排,每隔2名女同学插进3名男同学,共插进()名男同学。 4、把2、3、4、5分别填入()中,每个数只能用一次。 ()+()-()=() 5、小朋友排队。小平的左面有4个人,右面有8个人。这一行有()个人。 6、小朋友排队。从左数过来小平是第4个,从右数过来是第8个。这一行有()个人。 7、按规律写数。 8、15、10、13、12、11、()、() 1、4、3、6、5、()、() 1、2、4、8、()、() 8、 ()个正方形 ()个长方形9、小明、小林和小红一起比体重,结果是小明比小林重,小林比小红重,小明比小红重。他们三人 中()最重,()最轻。 10、小明、小红、小林进行100米跑步比赛。小明用了13秒,小林用了12秒,小红用了11秒。那 么,()是第一,()是第二。 11、强强的体重是27千克,芳芳的体重是25千克。东东的体重居于第三, 他和强强体重相差5千克,东东的体重是()千克。 一年级思维训练题2 班级姓名 1、小猫、小狗、小兔、小猴、小熊排成一横排做广播操:兔的左边是狗;猴在熊的左边;猫的右边 是狗;猴在兔的右边。()排在队伍的最左边。 2、1、2、4、5、7、8、()、() 15、1、12、1、9、()、()、()、() 75、()、()、60、()、50、()、()、()

10、5、9、6、8、7、7、()、()、() 3、拔河比赛结束后老师买了些饮料给同学们喝,可乐比雪碧多4瓶,可乐比芬达多6瓶。老师买的是()多,多()瓶。 4、举行跳绳比赛。秋秋跳得比丁丁少,小牛跳得比阿婷多,比秋秋少。 第一名:()第二名:()第三名:()第四名:() 5、在里填上“+”或“-”,使算式成立。 654321=1 654321=21 654321=11 654321=9 654321=15 6、你能把0、1、2、3、7、8、9填入下面的算式,使等式成立吗? +=-= 7、6()3()2()7() +5-7+57-48 ()3()48()2() ()8()8()8()() +1()-3()-8()-()7 7664926 一年级思维训练题3 班级姓名 1、按要求把数填入相应的方框里。 7653882619366731 双数个位是6的数比45大的数 单数比60小的数

10进制和60进制计数器

十进制计数器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10IS PORT(CLK,RST,EN:IN STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(3DOWNTO 0); COUT:OUT STD_LOGIC); END CNT10; ARCHITECTURE behav OF CNT10IS BEGIN PROCESS(CLK,RST,EN) VARIABLE CQI: STD_LOGIC_VECTOR(3DOWNTO0); BEGIN IF RST='1'THEN CQI:=(OTHERS =>'0');--计数 ELSIF CLK'EVENT AND CLK='1'THEN IF EN='1'THEN IF CQI<9THEN CQI:=CQI+1; --允许计数, ELSE CQI:=(OTHERS=>'0'); --大于9, END IF; END IF; END IF; IF CQI=9THEN COUT<='1';--计数大于9,输出进位信号 ELSE COUT<='0'; END IF; CQ<=CQI;--将计数值向端口输出 END PROCESS; END behav;六十进制计数器源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt60is port(clk,rst,en:in std_logic; cq:out std_logic_vector(7downto0); cout:out std_logic); end cnt60; architecture behav of cnt60is begin process(clk,rst,en) variable cqi:std_logic_vector(7downto0); begin if rst='1'then cqi:=(others=>'0'); elsif clk'event and clk='1'then if en='1'then if cqi<59then cqi:=cqi+1; else cqi:=(others=>'0'); end if; end if; end if; if cqi=59then cout<='1'; else cout<='0'; end if; cq<=cqi; end process; end behav;

一年级数学逻辑思维训练

一年级思维训练题1 班级 姓名 1、晾晒1块手帕,要用2只夹子;2块手帕,要用3只夹子;11块手帕,要用( )只夹子。 2、老师带了一些小朋友去看电影,一共买了11张票。问和老师一起看电影的有( )个小朋 友。 3、8名女同学站成一排,每隔2名女同学插进3名男同学,共插进( )名男同学。 4、把2、3、4、5分别填入( )中,每个数只能用一次。 ( )+( )-( )=( ) 5、小朋友排队。小平的左面有4个人,右面有8个人。这一行有( )个人。 6、小朋友排队。从左数过来小平是第4个,从右数过来是第8个。这一行有( )个人。 7、按规律写数。 8、 15、10、13、12、11、( )、( ) 1、4、3、6、5、( )、( ) 1、 2、4、8、( )、( ) 8、 ( )个 正方形 ( )个长方形 9、小明、小林和小红一起比体重,结果是小明比小林重,小林比小红重,小明比小红重。他们三人 中( )最重,( )最轻。 10、小明、小红、小林进行100米跑步比赛。小明用了13秒,小林用了12秒,小红用了11秒。那 么,( )是第一,( )是第二。 11、强强的体重是27千克,芳芳的体重是25千克。东东的体重居于第三, 他和强强体重相差5千克,东东的体重是( )千克。 一年级思维训练题2 班级 姓名 1、小猫、小狗、小兔、小猴、小熊排成一横排做广播操:兔的左边是狗;猴在熊的左边;猫的右边 是狗;猴在兔的右边。( )排在队伍的最左边。 2、1、2、4、5、7、8、( )、( ) 15、1、12、1、9、( )、( )、( )、( ) 75、( )、( )、60、( )、50、( )、( )、( )

数字电子实验——60进制计数器

综合性、设计性实验报告电子技术实验(数字电子部分) 报告分数: 学期: 班级: 姓名: 日期:

1. 实验目的 1)学习仿真软件Multisim的使用方法; 2)学习、掌握时序电路的设计方法; 3)掌握常用电子元器件的使用方法; 4)熟练运用用已有集成计数器(M进制)构成任意进制计数器(N进制),M < N 时,多片级联实现的方法; 5)熟悉由555定时器构成的多谐振荡器产生时钟脉冲; 6)了解反馈置数法和反馈清零法的特点及区别,并能熟练运用这两种方法。 2. 预习要求 1)阅读《数字电子技术基础》相关内容,了解集成计数器的原理及功能; 2)熟悉集成计数器74LS161及七段数码显示管的各引脚功能; 3)了解555定时器构成的多谐振荡器产生脉冲的基本原理; 4)对于反馈清零法和反馈置数法有基本的了解。 3. 实验内容 1)在Multisim集成环境中用74LS161和555定时器设计60进制计数器,要求能够实现暂停和置数的功能,并完成其仿真; 2)在模块化电子技术综合实验箱上完成电路搭接与调试; 4. 实验原理 4.1 个位模块 (1)利用反馈置数法,U2(74LS161D)为低位片即个位模块,用A、B、C、D四个输入端的高低电平实现个位预置数; (2)用开关控制U2的EP使能端高低电平实现暂停功能; (3)U2的CP脉冲端连接555定时器构成的多谐振荡器的矩形脉冲输出端;

(4)U2的使能端ET始终接有效的高电平,清零端CR始终接无效的高电平; 因为用的是反馈置数法,U2实现0(0000)~9(1001)的十进制循环,U2的QD和QA段用作二输入与非门U5A(74LS00D)的输入端,其输出端连接到U2的LD上。 (5)U2的四个输出端QD、QC、QB、QA连接U4数码管的D、C、B、A输入端,从而显示0~9这十个状态。 图1 个位模块原理图 4.2 十位模块 (1)利用反馈置数法,U1(74LS161D)为高位片即十位模块,用A、B、C 三个输入端的高低电平实现十位预置数; (2)U1的CP脉冲端连接555定时器构成的多谐振荡器的矩形脉冲输出端; (3)U1的使能端ET、EP始终接有效的高电平,清零端CR始终接无效的高电平; (4)因为用的是反馈置数法,U1实现0(0000)~5(0101)的六进制循环,U1的QC和QA端与个位数的QD和QA端用作四输入与非门U6A(74LS20D)的输入端,其输出端连接到U1的LD上。 (5)U1的四个输出端QD、QC、QB、QA连接U3数码管的D、C、B、A输入端,从而显示0~5这六个状态。

设计60进制计数器数电课程设计资料

电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院:班级:姓名:学号: 电气工程学院 电自1418 刘科2014303010328

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联

目录 第1章概述 (1) 1.1 计数器设计目的 (1) 1.2 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 2.1 74LS161的功能 (2) 2.2 方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 3.1 基本电路分析设计 (4) 3.2 计数器电路的仿真 (6) 第4章总结 (8)

最新一年级思维训练500题

1.哥哥有4个苹果,姐姐有3个苹果,弟弟有8个苹果,哥哥给弟弟1个后,弟弟吃了3个,这时谁的苹果多? 2.小明今年6岁,小强今年4岁,2年后,小明比小强大几岁? 3.同学们排队做操,小明前面有4个人,后面有4个人,这一队一共有多少人? 4.有一本书,小华第一天看了2页,以后每一天都比前一天多看2页,第4天看了多少页? 5.同学们排队做操,从前面数,小明排第4,从后面数,小明排第5,这一队一共有多少人? 6.有8个皮球,如果男生每人发一个,就多2个,如果女生每人发一个,就少2个,男生有多少人,女生有多少人? 7.老师给9个三好生每人发一朵花,还多出1朵红花,老师共有多少朵红花? 8.有5个同学投沙包,老师如果发给每人2个沙包就差1个,老师共有多少个沙包? 9.刚刚有9本书,爸爸又给他买了5本,小明借去2本,刚刚还有几本书? 10.一队小学生,李平前面有8个学生比他高竺嬗?个学生比他矮,这队小学生共有多少人? 11.小林吃了8块饼干后,小林现在有4块饼干,小林原来有多少块饼干? 12.哥哥送给弟弟5支铅笔后,还剩6支,哥哥原来有几支铅笔? 13.第二中队有8名男同学,女同学的人数跟男同学同样多,第二中队共有多少名同学? 14.大华和小刚每人有10张画片,大华给小刚2张后,小刚比大华多几张? 15.猫妈妈给小白5条鱼,给小花4条鱼,小白和小花共吃了6条,它们还有几条? 16.同学们到体育馆借球,一班借了9只,二班借了6只。体育馆的球共减少了几只?

17.明明从布袋里拿出5个白皮球和5个花皮球后,白皮球剩下10个,花皮球剩下5个。布袋里原来有多少个白皮球,多少个花皮球? 18.芳芳做了14朵花,晶晶做了8朵花,芳芳给晶晶几朵花,两人的花就一样多? 19.妈妈买回一些鸭蛋和12个鸡蛋,吃了8个鸡蛋后,剩下的鸡蛋和鸭蛋同样多,问妈妈一共买回几个蛋? 20.草地上有10只羊,跑走了3只白山羊,又来了7只黑山羊,现在共有几只羊? 1.如何问问题? 有甲、乙两人,其中,甲只说假话,而不说真话;乙则是只说真话,不说假话。但是,他们两个人在回答别人的问题时,只通过点头与摇头来表示,不讲话。有一天,一个人面对两条路:A与B,其中一条路是通向京城的,而另一条路是通向一个小村庄的。这时,他面前站着甲与乙两人,但他不知道此人是甲还是乙,也不知道“点头”是表示“是”还是表示“否”。现在,他必须问一个问题,才可能断定出哪条路通向京城。那么,这个问题应该怎样问? 2.他们的职业是分别什么? 小王、小张、小赵三个人是好朋友,他们中间其中一个人下海经商,一个人考上了重点大学,一个人参军了。此外他们还知道以下条件:小赵的年龄比士兵的大;大学生的年龄比小张小;小王的年龄和大学生的年龄不一样。请推出这三个人中谁是商人?谁是大学生?谁是士兵? 3.谁做对了? 甲、乙、丙三个人在一起做作业,有一道数学题比较难,当他们三个人都把自己的解法说出来以后,甲说:“我做错了。”乙说:“甲做对了。”丙说:“我做错了。”在一旁的丁看到他们的答案并听了她们的意见后说:“你们三个人中有一个人做对了,有一个人说对了。”请问,他们三人中到底谁做对了? 4.鞋子的颜色 小丽买了一双漂亮的鞋子,她的同学都没有见过这双鞋了,于是大家就猜,小红说:“你买的鞋不会是红色的。”小彩说:“你买的鞋子不是黄的就是黑的。”小玲说:“你买的鞋子一定是黑色的。”这三个人的看法至少有一种是正确的,至少有一种是错误的。请问,小丽的鞋子到底是什么颜色的? 5.谁偷吃了水果和小食品?

60进制计数器设计(VHDL)

《EDA技术》课程实验报告 学生姓名:黄红玉 所在班级:电信100227 指导教师:高金定老师 记分及评价: 一、实验名称 实验6:60进制计数器设计 二、任务及要求 【基本部分】4分 1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。 2、设计完成后生成一个元件,以供更高层次的设计调用。 3、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。 【发挥部分】1分 在60进制基础上设计6进制计数器,完成时序仿真。 三、实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity jinzhi60 is port(clk:in std_logic; co:out std_logic; qh:buffer std_logic_vector(3 downto 0); ql:buffer std_logic_vector(3 downto 0)); end entity jinzhi60; architecture art of jinzhi60 is begin co<='1'when(qh="0101"and ql="1001")else'0'; process(clk) begin if(clk='1')then if(ql=9)then ql<="0000";

if(qh=5)then qh<="0000"; else qh<=qh+1; end if; else ql<=ql+1; end if; end if; end process; end architecture art; 四、仿真及结果分析 由以上代码编译,仿真,得到一下时序仿真波形图。 用VHDL语言实现一个六十进制计数器,该计数器有计数使能端en,清零端clr和进位输出端co。档en=1时,计数器正常计数;当clr=1时,计数器清零。最后在试验箱上仿真,数码管显示了0到59,则60进制计数器完成。 五、硬件验证 1、选择模式:模7 2、引脚锁定情况表:

EDA60进制计数器设计

《EDA技术》课程实验报告 学生姓名: 所在班级: 指导教师: 记分及评价: 报告满分3分 得分 一、实验名称 实验6:60进制计数器设计 二、任务及要求 【基本部分】 1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成60进制计数器的设计并进行时序仿真。 2、设计完成后生成一个元件,以供更高层次的设计调用。 3、实验箱上进行验证。 【发挥部分】 在60进制基础上设计6进制计数器,完成时序仿真。 三、实验程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sixth is port(clk:in std_logic; co:out std_logic;--jin wei qh:buffer std_logic_vector(3 downto 0);--shi wei ql:buffer std_logic_vector(3 downto 0));--ge wei end entity sixth; architecture art of sixth is begin co<='1'when(qh="0101"and ql="1001")else'0'; process(clk) begin if(clk='1')then if(ql=9)then ql<="0000"; if(qh=5)then

qh<="0000"; else qh<=qh+1; end if; else ql<=ql+1; end if; end if; end process; end architecture art; 四、仿真及结果分析 图6-1 60进制计数器仿真图 用VHDL语言实现一个六十进制计数器,该计数器有计数使能端en,清零端clr和进位输出端co。档en=1时,计数器正常计数;当clr=1时,计数器清零。最后在试验箱上仿真,数码管显示了0到59,则60进制计数器完成。 五、硬件验证 1、选择模式: 2、引脚锁定情况表: 六、小结 1、六进制程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity six is port(clk,en,clr:in std_logic; co:out std_logic;--jin wei qh:buffer std_logic_vector(3 downto 0));--shi wei end entity six; architecture art of six is begin co<='1'when(qh="0101" and en='1')else'0';

相关主题
文本预览
相关文档 最新文档