数字电子技术基础期末考试试卷
课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。
一、填空题:(每题2分,共10分)
1. 时序逻辑电路一般由 和 两分组成。
2. 十进制数(56)10转换为二进制数为 和十六进制数为 。
3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。
4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。
5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分)
1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。
=F
2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+
3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2)
(1)化简该函数为最简与或式:
(2)画出用两级与非门实现的最简与或式电路图:
4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。
图1
5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态
时,1Y 、6Y 分别才为低电平(被译中)。
图2
6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。
图3
D= Q n+1= Q 1=
7. 已知电路如图4所示,试写出:
①驱动方程;
②状态方程;
③输出方程;
④状态表;
⑤电路功能。图4
三、设计题:(每10分,共20分)
1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1” 的
个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求:
(1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。
A B C F
2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求:
(1)列出计数器状态与V01、 V02的真值表;
(2)画出逻辑电路图。
图5
数字电路期末考试试卷评分标准
课程名称 数字电子技术基础 B 卷
一、填空题:(每题2分,共10分)
1. 存储电路 , 组合电路 。
2. 111000 , 38
3. 速度慢 ,超前进位
4. 产生,暂稳态
5. 32
二、化简、证明、分析综合题:(每小题10分,共70分)
1.解:
2. 证明:左边
3.解:
(1)化简该函数为最简与或式: 解:
填对卡诺图-----------2分
圈对卡诺图-----------2分
由卡诺图可得:
F A B A C D A C D B C B D =++++------------------------------2分
(2)画出用两级与非门实现的最简与或式电路图:
F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++--------------分
分分()()33()(1 )22BC D B C AD B BC D BAD CAD BC BC BC D BA CA B D =++++--------------=++++--------------=++++--------------=+-------------------------=-∴右分分
分边分原式成立
11F A B A C D A C D B C B D
F A B A C D A C D B C B D F A B A C D A C D B C B D =++++=++++-------------=????---------------分分
则可得电路图如下:------------------------------------------------2分
4.解:
T 1=0.7(21R R +)·C=0.7?(1+8.2)?103
?0.1?10-6
=0.644ms ---2分
T=0.7(212R R +)·C=0.7?(1+2?8.2)?103
?0.1?10-6
=1.218ms---3分
f=
KHZ HZ T 821.082110218.11
13
==?=------------------------3分 q=
52218
.1644.0221211≈=++=R R R R T T % ---------------------------------2分 5.解:
1232101726534312316210(,,)21100~72,,001110i i i i i
Y S S S m m A A A S A S A A S A A S S S Y m i A A A Y Y =------------------===+----------------=====-----------------------------=为的最小项分图中 , , 分当,时:分
当和时和分别被选中-------------------7654316210167654321011100,,0011101110000111100110A A A A A A A A Y Y A A A A A A A A Y Y ======∴=-----1分既:;,,,时,
且和时和分别被选中;-------------------------2分 当和时和分别被选中------2分
6.解: D=A -------------------------------------------------------------------------1分
Q n+1=D=A ------------------------------------------------------------------2分
Q 1QOE QOE =+-------------------------------------------------------------------2分
设触发器初始状态为0态,波形如图3所示。
图3
7. 解:①驱动方程:
②状态方程: n
n n n Q Q K Q J Q 0000010=+=+ 1111110101
()()n n n n n
n
n Q J Q K Q Q X Q Q X Q +=+=
⊕+
⊕ (2分) ③输出方程:n
n Q Q Y 01= -----------------------------------------(1分)
④状态表:--------------------------------------------------------------------(3分)
⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分)
00110
12J K J K X Q ====⊕(分)
三、设计题:(每10分,共20分)
1. 解:(1)依题意得真值表如下:--------------------------3分
(2)由真值表可得:-----------------------------------------------------------3分
0356m m m m F F A B C A B C
A B C
A B C
=+++=+
++
(3) 选用8选1数选器实现该逻辑电路如下:-----------------4分
2.解:
(1) 从波形图上可得:该电路有5个状态,且电路为上升沿触发,电路为穆尔型
时序电路。任取74161累加计数中的5个状态,这里取0000至0100共5个状态,任取一种映射得计数器状态与V01、 V02的真值表如下:
-----------------------------3分
(2)从真值表得:
0111
020202
V m m V m m m m ===+=? -------------------------------------------1分
用138实现该函数,当使能端失效时:
01111
02020202
V m m Y V m m m m Y Y ====+=?=?--------------------1分
保持权位一致性:得323122,11,00,0,1,Q S S S Q A Q A Q A ======
其中74161构成5进制加法计数器,-------------------------------------------------1分 得逻辑电路图如下:-----------------------------------------------4分
数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;
⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分
填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)
74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B
数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;
always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;
#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图
④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;
Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)
由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o
第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表
《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月
Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程
module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;
; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1
2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。
图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式
. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号
图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………
D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;
数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。
实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。
(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式
数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)
数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1
图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )
数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。
A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式
第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:
D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8
《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8
8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)
数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …
6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F
数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L ++=(b )B A AB L += (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3
(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B A D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1
一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。