当前位置:文档之家› 数字电子技术课程设计--电子秒表的设计

数字电子技术课程设计--电子秒表的设计

数字电子技术课程设计--电子秒表的设计
数字电子技术课程设计--电子秒表的设计

数字电子技术课程设计--电子秒表的设计

数字电子技术课程设计

课程设计题目:电子秒表的设计

目录

摘要 (2)

1引言 (3)

1.1设计目的 (3)

1.2技术要求 (3)

1.2.1基本要求 (3)

1.2.2提高要求 (3)

1.3设计内容 (3)

1.4工作原理 (3)

2设计框图 (4)

3各个部分功能简介 (5)

3.1按键去抖电路 (5)

3.2控制器电路 (6)

3.3时钟产生电路 (8)

3.4计时电路 (9)

3.5显示译码电路 (10)

3.6 50000分频电路 (11)

4硬件仿真 (13)

4.1顶层逻辑图 (13)

4.2LB0介绍 (14)

4.3硬件仿真 (14)

5课程设计的心得体会 (15)

参考文献 (16)

附录 (17)

摘要

本文以数字电子技术作为理论基础、以quartusⅡ软件为开发平台、以相关电路知识作为辅助,实现电子秒表电路的设计和制作。

该电子秒表可以准确显示时间,范围为00.00—99.99。并且可以手动调节时间,随时启动、清零、暂停记录时间等。操作起来简易、方便。

首先,本文针对电子秒表进行初步框架设计,并在对多种方案进行了认真比较和验证的基础上,又进一步详细介绍了时间脉冲发生器、秒计数器、译码及驱动显示电路。其次,在总体电路图组装完成以后,用quartusⅡ软件对设计好的电路进行了仿真与调试,并逐一解决设计过程中出现的一系列问题。最后,对照着电子秒表设计方案,对制作好的电子秒表功能进行总体验证。并利用学院的LB0开发板进行硬件仿真。

关键词:电子秒表计数器分频quartusⅡ

1引言

1.1设计目的

1)掌握同步计数器74160,74161的使用方法,并理解其工作原理。

2)掌握用74160,74161进行计数器、分频器的设计方法。

3)掌握用三态缓冲器74244和74160,74138,7448进行动态显示扫描电路设计的方法。

4)掌握电子秒表的设计方法。

5)掌握在EDA系统软件MAX + plus Ⅱ环境下用FPGA/CPLD进行数字系统设计的方法,掌握

该环境下功能仿真、时序仿真、管脚锁定和芯片下载的方法。

6)掌握用EDA硬件开发系统进行硬件验证的方法。

1.2技术要求

1.2.1基本要求

①计时精度不小于1/100秒;

②输入时钟:1 kHz;

③计时器最长计时为1小时;

④具有复位和启/停开关;

⑤显示控制:动态6位七段LED显示,位选以3位编码输出。要求显示稳定,扫描显示的

频率大于50Hz;

⑥完成硬件验证调试工作。

1.2.2提高要求

增加整分报时功能,即每分钟以蜂鸣器报时1次(1秒钟)。

1.3设计内容

1) 设计可控的计数器(定时器)、分频器、按键去抖电路和动态扫描显示电路;

2) 设计系统顶层电路;

3) 进行功能仿真和时序仿真;

4) 对仿真结果进行分析,确认仿真结果到达了设计要求;

5) 在EDA硬件开发系统上进行硬件验证与测试,确保设计电路系统能正确的工作。

1.4工作原理

电子秒表的输入时钟为1kHz,将其十分频后得到100Hz信号,再将100Hz的信号作为标准信号进行计数,则计数值的分辨率为1/100秒,正好满足系统的要求。计数器分为3级,第1级是一百进制计数器作1/100秒的计数,第2级是六十进制计数器作秒的计数,第3级是六十进制计数器作分的计数。电子秒表的计数受控制模块的控制,控制模块接收“起/停”

按键的输入,当计数停止时,接收到“起/停”按键则启动计数;当正在计数时,接收到“起/停”按键则停止计数。所以“起/停”键是一个反复键。为了保证系统操作的可靠性,设计了一个按键去抖动电路。

2设计框图

图1 电子秒表构成框图

3各个部分功能简介

3.1按键去抖电路

按键去抖电路keyin模块如图2所示。任何按键在触点接触和断开的瞬间都会产生机械抖动,如果不进行处理,每一次按键有可能产生若干次的响应,一般抖动的时间小于20ms。Keyin模块能完成对输入信号的去抖动处理,它利用两个串接的边沿D触发器来消除高频抖动,当在CLK端输入一个频率为25Hz的方波信号时,其输出信号就能得到宽度固定为20ms 的单脉冲信号。图3是仿真波形,从图中可见,存在于输入信号上的抖动被完全的消除了。图4是keyin的模块逻辑连接图。

图2 keyin逻辑模块图

图3 keyin的工作时序图

3.2控制器电路

控制器ctrl模块如图所示。它在“启/停”信号ST_ST和复位信号CLR的作用下完成对计数使能信号CNTEN的控制。任何时候只要CLR=1,则CNTEN=0,所以它是异步清零;ST_ST是一个反复键,当CLR无效时,每一个ST_ST脉冲都会使CNTEN反向,该信号用于控制计时器的计时,当取值为1时允许计时器计时,当取值为0时不允许计时器计时。

4

keyin

逻辑模

块连接图

图5 ctrl逻辑模块图

图6 ctrl逻辑模块连接图

图7 ctrl的工作时序图

3.3时钟产生电路

时钟产生电路clkgen模块如图所示。它完成对输入时钟信号分频,并输出四十分频信号DIV_40和十分频信号DIV_10,即25Hz去抖动时钟信号和100Hz计时器标准计时信号。

图8 clkgen逻辑电路图

图9 clkgen的工作时序图

图10 clkgen模块的逻辑连接图

3.4计时电路

计时电路cntblk模块如图所示。它在控制信号cnten和clr的作用下完成对输入的clk 信号进行计数。由于clk信号时标准100Hz信号,因此一百进制计数器的进位输出就是1秒,对秒进行六十进制计数就得到1分,对分又进行六十进制计数,所以最大计数值59:59.99,

因起始值是00:00.00,故其最大的计时长度为1小时。Cntblk模块将输出计时结果。仿真中,采用并行的方法,用6片74160计数器和相应的门电路接成计数范围为0—9分59秒99毫秒

的计数器,并用两个开关分别控制计数器的EP ET和CLRN,分别实现暂停/继续计数功能和清零复位功能。

图11 cntblk逻辑电路图

图12 cntblk模块的逻辑连接图

3.5显示译码电路

资源不足的情况下,需采用动态扫描的方式实现时间显示。在动态方式下,所有的数码管对应同一组七段码,每一个数码管由一个选择端控制点亮或熄灭,如果全部点亮,则都显示相同的数字。若要实现6位不同时间的显示,则需要利用人的视觉缺陷。即,在6个不同的时间段分别将每组时间经过七段译码后输出到6个数码管,当某一组时间的七段码到来时,只点亮相应位置的数码管,6次一个循环,形成一个扫描序列。只要扫描频率超过人眼的视觉暂留频率(24HZ)。就可以达到点亮单个数码管,却能享有6个同时显示的视觉效果,人眼辨别不出差别,而且扫描频率越高,显示越稳定。

显示译码电路disp模块如图所示。该模块有三个功能,首先它完成对输入的6组向量信号的选择,其中被选择的信号由S[2..0]决定,即当S[2..0]=“00”时,选中A,“01”时选中B,“05”时选中F;其次它还对选中的信号进行BCD-七段显示的译码;再次要完成位选信

号的产生和输出。Disp模块中位选信号的产生只用了一个74161接成6进制计数器即可,为了实现对输入的6个信号的选择再用一个74138将六进制计数器的计数值译码输出。数据的选择部分用了3个74244,利用它的三态功能实现该部分电路。

图13 disp逻辑电路图

图14 disp模块的逻辑连接图

3.6 50000分频电路

由于电子秒表的分辨率是0.01秒,所以需要将50MHZ的信号通过74292分频成为100HZ 的信号,将该信号作为时钟的输入信号。

50000分频电路的VHDL语言:

library ieee; --定义库文件

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity div_50000 is --定义实体部分

port( --定义端口

clk:in std_logic;

clk_fp:out std_logic);

end entity;

architecture one of div_50000 is --定义结构体部分

signal n:integer range 0 to 24999;

signal cp:std_logic;

begin

process(clk)

begin

if clk'event and clk='1' then --该句话指明当clk为上升沿的时候进行触发

if n<24999 then

n<=n+1;

else

n<=0;cp<=not cp;

end if;

end if;

end process;

clk_fp<=cp;

end one;

图15 50000分频模块的逻辑连接图

4硬件仿真4.1顶层逻辑图

4.2LB0介绍

LB0 以ALTERA 公司的CycloneⅢ系列FPGA EP3C10E144C8 为核心器件,板载串行配置芯片EPCS4、32M 的SDRAM 以及50M晶振,板上接口丰富。

4.3硬件仿真

起始状态:

计时至59秒时的状态:

计时到1分35秒后暂停了:

按键清零:

当计数到59分59秒99度后,计数重新跳转到00分00秒00度的状态重新计数。

5课程设计的心得体会

这次课程设计做的十分不易,投入了很多时间,不过收获也非常大。我非常感谢这次机会,让我提前接触到芯片,这些都可能是我以后学习乃至工作中需要用到的东西,提前接触他,让我很有热情,对自己所学和后面的学习生活中需要掌握的知识有了新的认识。

在此次的电子秒表的设计过程中,我更进一步地熟悉了芯片的结构及掌握了各芯片的工作

原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。虽然这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题,同时我们也掌握了做设计的基本流程,为我们以后进行更复杂的设计奠定了坚实的基础。设计本身并不是有很重要的意义,而是同学们对待问题时的态度和处理事情的能力。至于设计的成绩无须看的太过于重要,而是设计的过程,设计的思想和设计电路中的每一个环节,电路中各个部分的功能是如何实现的。各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。

在这次设计过程中,我也对Quartus等软件有了更进一步的了解,这使我在以后的工作中更加得心应手。

参考文献

[1]何伟现代数字系统实验及设计重庆大学出版社 2005

[2]杨欣王玉凤电子设计从零开始清华大学出版社 2005

[3]黄仁欣电子技术实践与训练清华大学出版社 2004

[4]阎石数字电子技术基础高等教育出版社 2008

[5]李洪伟基于Quartus II 的FPGA/CPLD设计电子工业出版社 2006.

[6]周润景基于Quartus II的FPGA/CPLD数字系统设计实例电子工业出版社2007

附录

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

数电课程设计:电子秒表

2013 - 2014学年第1学期 《数字电子技术基础》 课程设计报告 题目:电子秒表 专业:自动化 班级:自动化 姓名: 指导教师: 成绩: 2015年8月25日

课程设计任务书 学生班级:自动化学生姓名:学号: 设计名称:电子秒表 起止日期:2015.8.22——2015.9.05 指导教师:

摘要 秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100Hz;计时最长时间为10min,6位显示器,显示时间最长为9m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,我们先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器多谐振荡器

目录 第一章方案设计与论证 .................................................. - 5 - 第二章单元电路设计与参数计算 .......................................... - 5 - 2.1 时钟脉冲发生和控制信号.......................................... - 5 - 2.2 启动与停止电路.................................................. - 6 - 2.3 清零电路设计.................................................... - 7 - 第三章总电路工作原理及元器件清单 ...................................... - 7 - 3.1 电路完整工作过程描述(总体工作原理)............................ - 7 - 3.2 总原理图:(见下图3-1)......................................... - 7 - 第四章主要芯片介绍.................................................... - 9 - 4.1 74LS00 .......................................................... - 9 - 4.1 74LS160 ......................................................... - 9 - 第五章仿真............................................................ - 9 - 自我评价.............................................................. - 13 -

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数电课程设计电子秒表

电子电路课程设计题目名称:电子秒表课程设计 姓名: 学号: 专业班级:

一.设计任务及功能简介 (1)显示分辨率为1s/100,外接系统时钟频率为100KHz。 (2)计时最长时间为1h,六位显示器,显示时间最长为59m59.99s。 系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键 二.设计框原理 三.各单元电路的设计及使用说明

1.启动和停止单元电路 由一个开关控制CP脉冲,从而控制秒表的启动与停止 2.秒脉冲电路 LM555CN定时器构成的多谐振荡器,是一种性能较好的时钟源。该脉冲电路产生100KHz的脉冲(MULTISIM仿真太慢,调快了频率) 3. 100进制计数器

由两片74ls160级联组成,采用了串行的方式,低位片的进位端RCO接低位片的CLK端。 4. 60进制计数器 60进制计数器采用串行整体置数的方式级联,将电路的59状态译码产生的低电平信号接到两片计数器的同步置数端,当下一个脉冲到来的时候同时把0000置入两片74ls160计数器中,从而得到六十进制计数器。 5.计数与译码显示

十进制加法计数器74LS160构成电子秒表的计数单6.电子秒表总设计图 四.主要芯片介绍 1.74LS00

其真值表及管脚图为: 2.74LS160 74LS160 十进制同步计数器(异步清零)其真值表如下( H:高电平,L:低电平,↑:上升沿,X:任意,D0 ~D3 :A~D 稳态输入电平) 五.总电路的仿真 Inputs 输入 Ouput 输出 A B Y L L H L H H H L H H H L 输入 输出 Cr LD S1 S2 CP A B C D QA QB QC QD L X X X X X X X X L L L L H L X X ↑ D0 D1 D2 D3 D0 D1 D2 D3 H H H H ↑ X X X X 计数 H H L X X X X X X 保持 H H X L X X X X X 保持

数字式秒表课程设计

南通大学 《电子技术》课程设计报告 题目数字式秒表 学院(部计算机科学与技术学院 专业计算机科学与技术 学生姓名王骏 6 月2 7 日至7 月1 日共1 周 指导教师(签字)

一.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。该数字计数 系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示 电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分,它和分频器一起用来 产生0.01秒的脉冲。 二.技术要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。 三.方案论证与选择 1. 数字式秒表,就需要显示数字。根据设计要求,要用数码管来做显示器。题目要 求最大记数值为99分59.99秒,则需要一个8段数码管作为秒位(有小数点)和五个7段数码管作为分秒位。要求计数分辨率为0.0 1秒,那么我们需要相应频率的信号发生器。 选择信号发生器时,有两种方案:一种是用晶体振荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。石英晶振荡器精度很高,一般都需要多级分频。 秒表核心部分——计数器,此次选择74LS160计数器。它具有同步置数和异步清零功能。主要是利用它可以十分频的功能。 计数脉冲是由555定时器构成的多谐振荡器,产生100赫兹脉冲。如果精度要求高,也可采用石英振荡器。 在选择译码器的时候,有多种选择,如74LS47,74LS48等4-7线译码器。如果选择7447,则用来驱动共阳极数码管;如果选择7448,则用来驱动共阴极数码管。在选择数码显示管时,可以利用六个数码管;也可以借鉴简易数字频率计中的四位数码 管来显示后四位,再用两个数码管显示分钟的两位。本次设计中选择前一种方法。(一)控制电路

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

数字秒表课程设计报告

《电子技术》课程设计 题目:数字秒表设计 专业:电气工程系 班级:本电气自动化126 姓名:黎梓浩学号: 11 指导老师:钟立华 小组成员:曾志辉麦照文黎梓浩成绩:

目录 摘要,关键词,引言3一.设计目的3二.设计总体框图4三.设计原理及说明4四.单元电路设计5五.器件选择9六.设计电路图9七.安装与调试9八.设计心得与体会10 九.参考文献11

十.附录(实物图、原理图)12摘要:本文的数字秒表设计是利用数字电路,实现时、分、秒计时功能的装置。具有较长的使用寿命,因此得到了广泛的应用。 关键词:计时精确计数器显示器 74LS160 引言:在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活中已逐渐崭露头角,大多数电子产品多是有计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验,他们对时间精确度达到了几纳秒级别。 一.设计目的 1.了解数字秒表的主体电路的组成及工作原理; 2.熟悉集成电路及有关电子原件的使用; 3.学习和掌握数字电路中的时钟发生器及计数、译码显示等单元电

路的综合应用; 4.掌握电子电路一般设计方法和设计流程; 5.掌握protel等绘图软件的使用。 二.设计总体框图 三.设计原理及说明 数字秒表具有操作方便、使用简单、计数精准等使用优点,在日常生活中的到了广泛认可和使用。 数字秒表的设计属于中规模集成芯片设计。本设计中CP脉冲采

数字式电子秒表设计

1设计目的 电子技术课程设计是电子信息工程系三个专业的公共课程设计,是模拟电子 技术、数字电子技术课程结束后进行的教学环节。其目的是: 1、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。 2、学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调 试。 3、进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 4、培养学生的创新能力。 2设计要求 1.秒表由2位七段LED显示器显示,其中1位显示“ s” ,二位显示“ 0.1s ”,显示分 辩率为0.1 s; 2.计时最大值为9.9s; 3.计时误差不得超过1s; 具有清零、启动计时、暂停计时及继续计时等控制功能; 4.主要单元电路和元器件参数计算、选择; 5.画出总体电路图; 6.安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完毕后,应对照电 路图仔细检查,看是否有错接、漏接、虚焊的现象。 7.调试电路 8.电路性能指标测试 9.提交格式上符合要求,内容完整的设计报告

3总体设计 3.1工作流程图 图1工作流程图 图1中1单元为用集成与非门74LS00构成的基本RS触发器。属低电平直接触发的触发器,有直接置位,复位的功能。 图1中2单元为集成与非门74LS00构成的单稳态触发器,它的职能是为计数器提供清零信号。 图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。 图1中4单元74LS160构成的计数器/分频器 图1中5单元译码显示单元 3.2原理图: 图1为电子秒表的原理图,按功能可分为5个单元电路进行分析。 其中单元1为基本RS触发器; 单元2为单稳态触发器; 单元3为时钟发生器; 单元4为计数器和分频器; 单元5为译码显示单元。

数电课程设计数字电子秒表设计

永州职业技术学院 课 程 设 计 课程名称:数字电子技术 题目:时钟脉冲与计数系统的设计系、专业:电子系、应用电子专业 年级、班级:10级电子大专班 学生姓名:赵恒 指导老师:祝敏 时间: 2011年01月

目录 1. 引言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 2. 电路分析 (2) 2.1设计电路图 (2) 2.2电路分析 (3) 2.2.1时钟脉冲发生器 (3) 2.2.2计数器 (5) 2.2.3译码器 (6) 2.2.4数码管 (7) 3. 设计步骤 (7) 3.1设备与元件 (7) 3.2测试调整 (7) 3.2.1时钟发生器的测试 (7) 3.2.2计数器的测试 (7) 3.2.3电子秒表的整体测试 (8) 3.2.4电子秒表准确度的测试 (8) 4. 参考文献 (8) 5. 设计心得 (8)

数字电子秒表设计 1. 引言 电子秒表在生活中的应用,它可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒。广泛应用于科学研究、体育运动及国防等方面在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来很大的方便,充分利用定时器,能有效的加强我们的工作效率。 数字电子秒表是利用数字电子技术把模拟信号转换成数字信号来完成的,具有直观、准确性高的特点。 1.1 设计目的 1.建立数字电子电路系统的基本概念; 2.运用CD4060分频器的应用,计数器的级联及其计数、译码、显示电路的整体配合; 3.建立分频的基本概念。 1.2 设计要求 设计一个数字电子秒表,该秒表具有显示功能和清零、开始计时、停止计时等功能。 设计的要求如下: 1.以1秒为最小单位进行显示; 2.秒表可显示0~9秒的量程; 3.该秒表具有清零、开始计时、停止计时功能; 4.除了以上功能,个人可根据具体情况进行电路功能扩展。 1.3 设计内容 1.搭接电子秒表的整体设计电路;

电子秒表课程设计..

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

数字电子秒表设计总结报告1

数字电子秒表设计总结报告 一. 工作原理 本数字电子秒表设计由启动、清零复位电路、多谐振荡电路、分频计数电路、译码显示电路等组成。如下图所示: 启动清零复位电路主要由U6A 、U6B 、U7B 、U7D 组成,其本质是一个RS 触发器和单稳态触发器。J1控制数字秒表的启动和停止,J2控制数字秒表的清零复位。开始时把J1合上,J2打开,运行本电路,数字秒表正在计数。 当打开J1,合上J2键,J2与地相接得到低电平加到U6B 的输入端,U6B 输出高电平又加到U6A 的输入端,而U6A 的另一端通过电阻R15与电源相接得到高电平,(此时U6B 与U6A 组成RS 触发器),U6A 输出低电加到U7A 的输入端,U7A 被封锁输出高电平加到U5的时钟端,因U5不具备时钟脉冲条件,U5不能输出脉冲信号,因此U3、U4时钟端无脉冲而停止计数。当J1合上时,打开J2键,J1与地相接得到低电平加到U6A 的输入端,U6A 输出高电平加到 U6B

的输入端,U6B输出低电平加至U7B,使U7B输出高电平,因电容两端电压不能跃变,因此在R7上得到高电平加到U7D输入端,U7D输出低电平(进入暂态)同时加到U3、U4、U5的清零端,使得U3、U4的Q D---Q A输出0000,经U1、U2译码输出驱动U9、U10显示“00”。因为U7B与U7D组成一个单稳态电路,经过较短的时间,U7D的输出由低电平变为高电平,允许U3、U4、U5计数。同时U6A输出高电平加到U7A的输入端,将U7A打开,让555的3脚输出100KHZ的振荡信号经U7A加到U5的时钟脉冲端,使得U5具备时钟脉冲条件,U5的9、10、7脚接高电平,U5构成十分频器,对时钟脉冲计数。当U5接收一个脉冲时,U5内部计数加1,如果U5接收到第十个脉冲时,U5的15脚(RCO端)输出由低电平跳变为高电平作为U4的时钟脉冲,从而实现了对振荡信号的十分频,产生周期为0.1S的脉冲加至U4的时钟端。U4的9、10、7脚接高电平,当U4接收到来自U5的脉冲时,U4的Q D---Q A输出0001加到U2的DCBA端,经U2译码输出1001111经电阻R8~R14驱动数码管U10显示,此时数码管显示“1”,当U4计数到1001时,U4的15脚输出高电平接到U7C,经反相后得到低电平,加到U3的时钟脉冲端,U3A不具备时钟脉冲条件,当U4再接收一个脉冲时,U4的输出由1001翻转为0000,此时U4的15脚输出低电平通过U7C反相输出高电平,从而得到一上升沿脉冲加至U3的时钟端,使得U3的Q D---Q A输出0001加到U1的DCBA输入端,经U1译码输出100111,经电阻R1~R7驱动数码管U9,数码管显示“1”。如此循环的计数,最后数码管U9、U10显示最大值99即9.9秒。 由集成块555、电阻R19、R18、电容C1、C2组成多谐振荡器,当接通电源,电源通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,内部三极管导通,C2通电阻R19进行放电,当UC2下降到1/3VCC时,内部三极管截止,集成块555的3脚输出高电平,接着电源又通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,如此循环的充、放电,555的3脚输出100HZ的矩形方波信号加到U7A的输入端。

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

数字式秒表课程设计

《数电设计》课程设计报告 题目数字式秒表 学院(部)理学院 专业电子信息科学与技术 学生姓名孟涛涛 学号2 前言 如今,信息正是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的技术领域之一,数字技术在数字集成电路集成度越来越高的情况下,开发数字系统的使用方法和用来实现这些方法的工具已经发生了变化,但大规模集成电路中的基本模块结构仍然需要基本单元电源电路的有关概念,因此用基本逻辑电路来组成大规模或中规模地方法仍然需要我们掌握。 二进制数及二进制代码是数字系统中信息的主要表示形式,与,或,非三种基本逻辑运算是逻辑代数的基础,相应的逻辑门成为数字

电路中最基本的元件。数字电路的输入,输出信号为离散数字信号,电路中电子元器件工作在开关状态。除此之外,由与,或,非门构成的组合逻辑功能器件编码器,译码器,数字分配器,数字选择器,加法器,比较器以及触发器是常用的器件。 与模拟技术相比,数字技术具有很多优点,这也是数字技术取代模拟技术被广泛使用的原因。 此次课设更是加深了我们对数字技术的理解和认识。 目录 一.前言 二.内容摘要 三.关键字 四.技术要求 五.方案论证与选择 1.方案论证 2.总框图 (一)控制电路 (二)0.01秒脉冲发生器 (三)复位电路 (四)译码显示电路 1.计数器74LS160 2.译码器7447 3.七段数码管(LED)

六.电路图及电路工作原理 元件清单 七.课设存在的问题及解决 八.心得体会 九.参考文献 二.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计 实验之一。该数字计数系统的逻辑结构较简单,是由控制电 路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电 路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分, 它和分频器一起用来产生0.01秒的脉冲。 三. 关键字 计数器,译码器,显示器,555定时器构成的多谐振荡器,基 本R-S触发器 四.技术要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。

数字电子技术课程设计电子秒表精选版

数字电子技术课程设计 电子秒表 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:周珍艮

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求

设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示

相关主题
文本预览
相关文档 最新文档