当前位置:文档之家› 简易交通灯控制电路的设计

简易交通灯控制电路的设计

简易交通灯控制电路的设计
简易交通灯控制电路的设计

xxxxxx大学

课程设计

简易交通灯控制电路的设计

班级

学号

学生姓名

指导教师

xxxxxx大学

课程设计任务书

课程名称电子线路课程设计

课程设计题目简易交通灯控制电路的设计

课程设计的内容及要求:

一、设计说明与技术指标

1.东西方向绿灯亮,南北方向红灯亮,时间25s。

2.东西方向与南北方向黄灯亮,时间5s。

3.南北方向绿灯亮,东西方向红灯亮,时间15s。

4.如果发生紧急事件,可以手动控制四个方向红灯全亮,禁止该道路的车辆通行,特殊情况过后能恢复正常。

二、设计要求

1.在选择器件时,应考虑成本。

2.根据技术指标,通过分析计算确定电路和元器件参数。

3.画出电路原理图(元器件标准化,电路图规范化)。

三、实验要求

1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。

2.进行实验数据处理和分析。

四、推荐参考资料

1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年

2. 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005.

3. 陈孝彬《555集成电路实用电路集》高等教育出版社2002-8

4. 王刚《TTL集成电路应用》机械工业出版社2000-10

五、按照要求撰写课程设计报告

成绩评定表:

指导教师签字:

年月日

一、概述

本设计通过采用数字电路对交通灯控制电路进行设计,使用交通灯控制电路

用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来设计交通控制信号灯。

在实际情况下,一个十字路有一个主干道和一个支干道。主干道的车流量较大,即要求主干道绿灯亮的时间长,支干道正好相反。

设A代表东西方向即主干道,B代表南北即支干道;R代表红灯亮,Y代表黄灯亮,G代表绿灯亮。且设主、支干道红、黄、绿灯亮的四种状态分别由Q2、Q1的四种数值组合表示,时间设置如下(1代表灯亮,0代表灯灭)。

表1 四种状态表示亮灯颜色与时间

以设计要求为依据,本方案一共分为秒脉冲信号发生电路、计时电路、控制电路、计时显示电路、信号灯控制电路、紧急状态手动控制电路六大部分组成。最终达到包括两组红黄绿灯(配合十字路口的双向指挥控制)、一组手动与自动控制开关(针对交通警察指挥交通控制使用)、倒计时显示器(显示允许通行或禁止

通行时间)在内的最终设计目标。

二、方案论证

2.1计数器的设计

方案一:

用74LS192直接构成减计数器,时钟脉冲上升沿到来时,在控制器的作用下置数后开始计数,计数器以减计数向显示译码器提供信号。

方案二:

定时器由与系统秒脉冲同步的计数器74LS161构成,时钟脉冲上升沿到来时,在控制信号作用下置数为反码,输出端接入非门后计数器实现计数和倒计时显示的双重功能。

方案选择:

74LS192是双时钟同步16进制可逆计数器,用它进行减计数与数字显示倒计时相符合。然而由于对74LS192不太熟悉,并且在最初提供的芯片中并没有74LS192,所以最终选择了74LS161芯片。而且由于经常应用,因而使用起来较方便。因而选择方案二。

所以最终确定的总体实验方案如下:

由555时钟信号发生电路产生稳定的“秒”脉冲信号。用两片74LS161作为计数器分别作为高低位片,将其输出端通过非门与74LS47相连后,把74LS47输出端连到共阳极数码管上,实现倒计时;用一片74LS74即两个D触发器作为状态控制器,控制状态变量Q2Q1的变化,即实现变化:00-01-10-11;用两片计数器的C进位端进行与运算后作为状态控制器的脉冲;利用状态控制器与计数器的输出对计数器实现置数操作,从而实现模25,模15,模5的转换;状态控制器的输出Q2Q1连接至74LS138的两个地址输入端AB,第三个地址输入端C接地;六个灯按照不同状态分别与74LS18的前四个输出端连接。

总体设计方案框图如图1:

图1 总体设计方案框图

在此电路中,555时钟信号发生器产生一个以秒为单位的CLK脉冲,计数器74LS161接受CLK脉冲,实现同步计时。通过非门连接计数器输出端与译码驱动电路,在数码管上显示倒计时。将计数器的进位输出C取反用以控制其自身循环置数,对计数器的两片74LS161的输出C通过与门来作为红绿灯状态控制器74LS74的脉冲信号,由此,状态从00变至01,历时25s;从01至10,历时5s;从10至11,历时20s;再从11变至00,历时5s,依次循环。状态不同,计数器置数和红绿灯如何显示不同;计数器置数不同,则模值不同,状态改变时间也不同。

三、电路设计

3.1 秒脉冲信号发生器的设计

3.1.1 秒脉冲发生器的功能及组成元件

秒脉冲发生器用于产生周期为1秒的的矩形波脉冲,其主要由555芯片组成的多谐振荡器来实现。

3.1.2 秒脉冲发生器的工作原理

由555定时器构成的多谐振荡器如图3所示,R1,R2和C是外接定时元件,电路中将高电平触发端和低电平触发端并接后接到R2和C的连接处,将放电端接到R1,R2的连接处。由于接通电源瞬间,电容C来不及充电,电容器两端电压u c为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出u o为高电平,放电管VT截止。这时,电源经R1,R2对电容C充电,使电压u c按指数规律上升,当uc上升到(2/3)Vcc时,输出u o为低电平,放电管VT导通,把u c从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间T1的长短与电容的充电时间有关。充电时间常数

T

=(R1+R2)×C(1)

由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间T2的长短与电容的放电时间有关,放电时间常数

=R2×C(2)

T

随着C的放电,u c下降,当uc下降到(1/3)Vcc时,输出u o。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,u c电压总是在(1/3~2/3)Vcc 之间变化。图2为工作波形。

图2 多谐振荡器工作波形

图3 555构成的多谐振荡器原理图

3.1.3 秒脉冲发生器电路的元件参数计算

因为对于该电路有

T=T1+T2(3)

T1=0.7R1+R2×C(4)

T2=0.7×R2×C(5)

T≈0.7(R1+2R2)×C(6)

若令C=10μf,R

1=43K?,那么R

2

=51K?。则T≈1.015s,对于信号灯来说精度

足够。秒脉冲发生器电路如图4所示。

图4 555组成的秒脉冲发生电路

此电路为计时电路提供时钟信号CLK。

3.2 计时电路的设计

3.2.1 定时器的功能及其组件

计时器的功能是实现精确定时并驱动显示译码器实现倒计时输出,其电路组成元件有74LS161、74LS04(非门)、74LS08(二输入与门)、74LS86(异或门)。

图5 4位二进制同步加法计数器74LS161引脚排列图74LS161是4位二进制同步加法计数器,它具有异步清零、同步置数的功能。74LS161的引脚图如图5所示,其功能表如表2所示。图中,R D′是低电平有效的异步清零输入端,LD′是低电平有效的同步并行置数控制端,ET、EP是计数控制使能端,C是进位输出端,D0~D3是并行数据输入端,Q0~Q 3输出端。

表2 4位二进制同步加法计数器74LS161功能表

3.2.2 定时器电路工作原理

定时器工作原理图如图6所示。

图6两片74LS161级联组成的定时器电路

两片74LS161之间采用并行连接,低位片的进位输出接入高位片的EP、ET 使能端,高位片只有在低位片进位时才会进行计数或置数。

此电路输出后接入非门使其输出为反码,当计数器输出原码为1111即反码为0000时,进位输出端C输出高电平,进行非运算后变为低电平接入LD’进行置数,

并行输入端D

3D

2

D

1

D

的数据被置入计数器。综上所述:高位片只有在高低位片

Q

A Q

B

Q

C

Q

D

输出都为1111时才会被置数,低位片Q

A

Q

B

Q

C

Q

D

为1111时高位片仅进行计

数运算。若计数器分别输出25秒(S

0)、5秒(S

1

)、15秒(S

2

)、5秒(S

3

),四个

状态的计数信号,则需要系统的状态量Q

2Q

1

和74LS161的输出Q

A

Q

B

Q

C

Q

D

共同控制芯

片的置数端D

0D

1

D

2

D

3

。由表1可以列出两个计数芯片的状态转换表如表3所示。表3 两片74LS161的置数状态转换表(*表示不进行置数操作)

由表3可以得出高位片:

D3=D2=1(7)

D1=Q1+Q2(8)

D0=Q1+Q2′(9) 低位片:

D3=D0=Q A?Q B (10)

D2=Q A′?Q B′(11)

D1=1(12) 此电路的输出为计时显示电路的输入。

3.3 计时显示电路

计时显示电路包含74LS47显示译码器和共阳极二极管。该电路将计时电路产生的倒计时数码进行可视化显示。此电路中显示译码器与数码管的对应关系为

74LS48驱动共阴极数码管,74LS47驱动共阳极数码管。电路没有复杂逻辑关系,按照管脚关系认真连接即可。计时显示电路如图7所示。

图7 计时显示电路原理图

3.4 控制器电路的设计

3.4.1控制器的功能及组成元件

控制器的功能是按预定的状态循环控制着每个交通灯的亮和灭。其电路由74LS168芯片和74LS74芯片来实现。其中74LS138芯片为3线-8线译码器,用来驱动译码电路。74LS74芯片为边沿D触发器,用来实现四个状态的循环。

3.4.2 控制器电路工作原理

如图8,为控制器状态图。

(Q2Q1/C)

图8 控制器状态图

总状态数M=4,所以取触发器数目为2,取自然二进制数的00~11作为S 0~S 3

的编码,得到状态转换表,如表4.

表4 触发器状态转换表

选用2个CLK 边沿触发的D 触发器,分别用FF 1、FF 2表示。由于采用同步方案故时钟方程

CLK=clk 1

=clk 2=C 1C 2 (13)

其中C 1C 2分别为两个74LS161的进位输出端。

表示电路次态/进位输出C 与电路现态关系的卡诺图如图9所示。

图9 电路次态/进位输出C 与现态关系的卡诺图

对图9拆分化简后可得出:

Q2?=Q1?Q2(14)

Q1?=Q1′(15) 式(14)(15)为状态方程,比较D触发器的特性方程

Q?=D (16) 可得:

D2=Q1?Q2(17)

D1=Q1′(18) 74LS138为3线-8线译码器,本系统中只有四个状态,所以将地址输入端高

位C接地,Q

2Q

1

分别接BA,此时输出Y

Y

1

Y

2

Y

3

分别表示S

S

1

S

2

S

3

四个状态。

控制电路为全系统提供状态转换信号。原理图如图10。

图10 控制电路原理图

3.5 紧急状态手动控制电路的设计

考虑到实际中可能遇到紧急情况需要禁止整个十字路口通行,即四个方向全是红灯,而紧急状态结束后还能够继续正常运行,所以本系统设计了紧急状态手动控制开关。

此开关为单刀双掷开关,连接74LS138的G1片选端,和计时器的低位片的EP、ET使能端,这三个端口都是连接高电平时可以使芯片正常工作,连接低电平时则会使芯片禁止工作处于保持状态,所以可以使整个系统暂停工作,在这一端还要连接一个非门后接入用或门与红灯控制电路连接。因此单刀双掷开关的另外

两端分别接高电平和地,正常状态时长接高电平,紧急状态下接地使系统停止工作。在非门的控制下把低电平转化为高电平使红灯常亮。

其电路如图11所示

图11 紧急状态手动控制开关

3.6 信号灯控制电路的设计

信号灯控制电路接收控制电路的74LS138给出的四个状态信号分别按照设计要求控制不同的信号灯,对应控制关系如表5所示

表5 四种状态对应控制灯光

所以:

AG=Y

2

(19)

AY=Y

3

(20)

AR=Y

0+Y

1

(21)

BG=Y

(22)

BY=Y

1

(23)

BR=Y

2+Y

3

(24)

可连接信号灯控制电路如图12所示。由于74LS138输出低电平有效,所以接入非门装换为高电平后再连接如信号灯控制电路。这里的或门中接入紧急状态手动开关的控制信号,使其可以在紧急状态74LS138被禁止的状况下提供红灯全亮信号。

图12 信号灯控制电路原理图

四、性能测试

4.1秒脉冲发生电路的测试

将555芯片3脚输出端接入示波器得到秒脉冲波形,如图13所示。

图13 秒脉冲波形

此部分能够较为精确的输出秒脉冲信号,为整个系统提供时钟信号。

4.2 定时器与计时显示电路测试

计时显示电路可以显示出定时器的工作状态,所以一起进行测试。在仿真和实物测试中可以都看到此部分电路可以实现设计功能。完成有S 0(24~0),S 1(4~0),S 2(14~0),S 3(4~0),四个状态的倒计时循环。图14到图17为每个状态开始时的仿真截图。

图14 S 0状态开始时仿真图

图15 S 1状态开始时仿真图

图16 S2状态开始时仿真图

图17 S3状态开始时仿真图4.3 控制电路和信号灯控制电路测试

控制电路为整个系统提供状态信号Q

2Q

1

,信号灯控制电路接受控制电路信号后控制不

同颜色的灯亮灭。图18为控制电路和信号灯控制电路的仿真逻辑分析图。

逻辑图由上到下分别表示Q

2、Q

1

、AG、AY、AR、BG、BY、BR。

Q 2Q

1

=00时,AR=BG=1,即东西方向红灯亮,南北方向绿灯亮;

Q 2Q

1

=01时,AG=BY=1,即东西方向红灯亮,南北方向黄灯亮;

Q 2Q

1

=10时,AG=BR=1,即东西方向绿灯亮,南北方向红灯亮;

Q 2Q

1

=11时,AY=BR=1,即东西方向黄灯亮,南北方向红灯亮。

以上测试中证明控制电路和信号灯控制电路达到设计要求,能够完成整个系统的状态控制和信号灯亮灭控制。

图18 控制电路和信号灯控制电路的仿真逻辑分析图

4.4 紧急状态手动控制开关测试

在紧急状态下按下控制开关可以使整个系统停止工作,并且所有红灯常亮,在紧急状态结束后关闭开关可以继续正常使用。启动状态如图19。

图19 紧急开关打开示意图

在测试过程中不仅进行了仿真测试,也进行了实物测试,测试结果与仿真结果一致,实现了整个系统的所有功能。图20为实物连接图。

图20 系统实物连接图

五、结论

该设计电路基本能满足交通信号灯控制器的设计要求,通过控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,能够实现交通十字路口管理的自动化控制,并且能够在发生紧急情况时进行人工干预。该电路设计相对来说比较简单,实用。但设计中存在很多的不足之处,比如说该秒脉冲发生器的时间精度不够精确,假如采用晶振计时器来控制的话就会相当准确;在数码管显示为00时就会切换状态,虽然不影响整体计数时间,但是仍然不够完善。

这两周的电子线路课程设计期间,我遇到了平时从未有过的困难。因为课程设计不是依靠某一方面的知识就可以完成的,而是对平时所学的理论知识的高度整合。又因为自己所学的知识有限,要设计出此电路需要花费大量的时间去查找资料,虽然很是艰难,但经过几周的艰苦努力,终于还是完成了课程设计的任务。特别是我们学习理论时学到的是TTL电路中引脚悬空即为高电平,但是实物连接过程中出现了不少的问题,最后把所有悬空的引脚都接了高电平才解决这个问题。在这个过程中我深刻理解了“理论联系实际”这句话的重要性。

通过此次课程设计,我学到了很多知识一方面,我掌握了常用元件的识别、使用和测试方法;如何化简电路提高电路的性能;以及电路的子功能和总体测试的方法等等。另一方面,使我感悟最深的是数字电路设计需要的是深厚的知识功底和严谨认真的科学态度。好的电路设计不仅要求正确,而且是最简单的,尽量在功能齐全的前提下减少所用器件的数量,这样不仅可以降低成本,而且可以增加系统的可靠性和稳定性。特别是在实物连接过程中有最直接的感觉,减少元器件的数量可以使连接工作省却不少的麻烦。

参考文献

[1] 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005.

[2]陈孝彬.555集成电路实用电路集》高等教育出版社2002-8

[3] 王刚.《TTL集成电路应用》机械工业出版社 2000-10

[4] 梁宗善. 电子技术基础课程设计[M]. 武汉:华中理工大学出版社

[5]彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社

[6]杨刚,周群主编. 电子系统设计与实践[M]. 北京:电子工业出版社,2005

[7] 华成英,童诗白主编.模拟电子技术基础[M].北京:高等教育出版社

[8]张玉璞,李庆常.电子技术课程设计[M].北京:北京理工大学出版社

[9] 谢自美.电子电路设计、实验、测试(第二版)[M].武汉:华中科技大学出版社

[10]孙梅生,李美莺,徐振英.电子技术基础课程设计[M].北京:高等教育出版社

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

简易交通灯控制逻辑电路设计word精品

推南呼紅爭院 电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级:2014 级 专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计

机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如 下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行; (3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁 止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理系统上电或手动复位之后,系统先显示状态灯及LED 数码管,将状态码值送显P1 口,将要显示的时间值的个位和十位分别送显P0 口,在此同时用软件方法计时1秒, 到达1s就要将时间值减1,刷新LED数码管。

简易交通灯控制电路

目录 引言 (2) 1 实验目的 (3) 2 设计任务和要求 (4) 2.1 工作流程 (4) 2.2 工作时序 (4) 2.3 循环工作 (4) 3 系统总体设计 (5) 4 单元电路设计 (8) 4.1 脉冲发生器 (8) 4. 2 控制器 (8) 4. 3 计时显示电路 (9) 4. 4 计数器驱动脉冲电路图 (11) 5 主要电子器件 (14) 6 设计总结 (15) 致谢 (16) 主要参考资料 (17)

引言 数字电子技术基础是高等学校弱电类各专业的一门重要的技术基础课程。这门课程发展迅速、实用性和应用性强,侧重于逻辑行为的认知和验证。 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现涉及交通控制信号灯。 设计一个简易交通信号灯控制器,在十字入口处设置红、黄、绿三种信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

1 实验目的 1、掌握综合应用理论知识和中规模集成电路设计方法 2、掌握调试及电路主要技术指标的测试方法

2 设计任务和要求 1、工作流程 南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄灯亮。 2 、工作时序 东西方向亮红灯时间应等于南北方向亮黄灯和绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。 一次循环为30秒,其中红灯亮的时间是绿灯、黄灯亮的时间之和,黄灯是间歇闪烁。 3 、循环工作 十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为30秒,然后以每秒减1计数方式工作,直至减到2秒时,绿灯熄灭,黄灯亮并闪烁,计数继续每秒减1,直到0,黄灯熄灭,红灯亮。十字路口红、绿灯交换,一次工作循环结束,而进入下一步某方向的工作循环。

简易交通灯电路设计

课程设计说明书 课程设计名称:数字逻辑课程设计 课程设计题目:简易交通灯电路设计 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年9月16 日

摘要 随着社会经济快速发展以及现代社会人口的增加,顺应各种交通工具的发展和交通指挥的需要,加强交通道路管理,减少交通事故的发生,提高道路使用效率,此时交通灯便应运而生。它已逐渐成为改善交通状况的一种重要工具。因此设计交通灯电路对我们的生活息息相关。 本次课题设计采用NE555产生计时信号,定时给出方波脉冲信号,同时采用CD4017集成芯片实现三种信号灯的自动循环功能,以及利用或门真值表功能实现简易交通灯的设计。此简易交通灯可以而且仅可以控制一条交通道路,主要实现红,黄,绿,红,黄,绿单向交通灯循环控制,但是同样可以改动电路从而实现红,黄,绿,黄,绿双向交通灯循环控制;而在时间控制上,红,黄,绿亮灯时间上取为2:1:2。 经过一系列分析准备,本次课题设计除了在手动控制上存在一些不足外,已完成电路设计要求。 关键字:循环控制计时电路译码电路 NE555 CD4017

目录(页码要调整下) 前言 (4) 第一章设计内容与要求 (5) 第二章简易交通灯设计方案 (5) 2.1基本要求设计方案..........................................,5 2.2提高要求设计方案..........................................,6 第三章系统组成及工作原理. (6) 3.1 系统组成 (6) 3.2 工作原理 (7) 第四章简易交通灯设计方案单元模块电路设计 (8) 4.1电源电路 (8) 4.2译码电路 (9) 4.3 光源电路 (10) 4.3.1红黄绿单向循环 (10) 4.3.2红黄绿黄红双向循环 (11) 第五章实验调试和分析 (12) 结论 (13) 参考文献 (14) 附录一 (14) 附录二 (15) 附录三 (16)

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

简易交通灯控制电路的设计

沈阳航空航天大学 程设计 (说明书) 课程设计任务书 课程名称电子线路课程设计 课程设计题目 _________ 简易交通灯控制电路的设计 ___________ 课程设计的内容及要求: 一、设计说明与技术指标 1东西方向绿灯亮,南北方向红灯亮,时间25s。 2. 东西方向与南北方向黄灯亮,时间5s。 3?南北方向绿灯亮,东西方向红灯亮,时间15s。 4.如果发生紧急事件,可以手动控制四个方向红灯全亮,禁止该道路的车辆通行, 特殊情况过后能恢复正常。 二、设计要求 1. 在选择器件时,应考虑成本。 2. 根据技术指标,通过分析计算确定电路和元器件参数。 3. 画出电路原理图(元器件标准化,电路图规范化)。

三、实验要求 1. 根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真 2. 进行实验数据处理和分析。 四、推荐参考资料 1.童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006 年 2?阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬,《555集成电路实用电路集》.高等教育出版社2002-8. 4. 王刚《TTL集成电路应用》.机械工业出版社2000-10. 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日

一、 概述 简易交通灯电路是脉冲数字电路的简单应用,设计了交通信号灯不同方向在不同 的时间倒计时内,亮不同的的信号灯的功能,同时应用了七段数码管来显示时间。此 交通灯可以在实现东西、南北方向红黄绿灯的颜色转换,接下来就是按照4个阶段循环 发光,并且如果发生紧急事件看,可以手动控制四个方向红灯全亮,禁止该道路的车 辆通行,特殊情况过后才能恢复正常。这在当今社会交通中具有广泛的应用价值,有 效的减少交通拥堵和交通事故。 本电路主要有四个模块构成:555脉冲发生器、5倍分频器、倒计数器、主控制电 路和手动控制电路。整个电路是有秒脉冲提供脉冲,有计数器进行计数功能向译码显 示电路显示倒计时,而且也控制三种灯泡的发光。 二、 方案论证 2.1设计思路 根据设计要求,十字路口车辆运行情况只有4种可能:1)设开始时东西方向绿灯 通行,南北红灯不通行,持续时间为 25s 。2)25s 后,东西方向绿灯变黄灯,南北方 向红灯保持不变,持续时间为 5s 。3)5s 后,东西方向黄灯变红灯禁止通行,南北方 向红灯变绿灯通行,持续时间为15s 。4)15s 后,东西方向红灯不变禁止通行,南北 方向绿灯变黄灯,5s 后又回到第一种情况,如此循环反复。因此,要求主控制电路也 有4种状态。其控制原理框图如图1所示。 图1简易交通灯电路的原理框图

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

交通灯控制电路

交通灯控制电路 交通灯的课程设计 [要点提示] 一、实验目的 二、实验预习要求 三、实验原理 四、实验仪器设备 五、练习内容及方法 六、实验报告 七、思考题 [内容简介] 一、设计任务与要求 1(设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2(要求黄灯先亮5秒,才能变换运行车道; 3(黄灯亮时,要求每秒钟闪亮一次。 二、实验预习要求 1(复习数字系统设计基础。 2(复习多路数据选择器、二进制同步计数器的工作原理。 3(根据交通灯控制系统框图,画出完整的电路图。 三、设计原理与参考电路 1(分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。 2(画出交通灯控制器的ASM图12、1 交通灯控制系统的原理框图(Algorithmic State Machine,算法状 态机)

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道 禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY 时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允 许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态 S0(00) 甲绿,乙红甲车道通行,乙车道禁止通行 S1(01) 甲黄,乙红甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮;

简易交通灯控制逻辑电路设计

电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级: 2014级

专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计 机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求 本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行;

(3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理 系统上电或手动复位之后,系统先显示状态灯及LED数码管,将状态码值送显P1口,将要显示的时间值的个位和十位分别送显P0口,在此同时用软件方法计时1秒,到达1s就要将时间值减1,刷新LED数码管。 时间到达一个状态所要全部时间,则要进行下一状态判断及衔接,并装入次状态的相应状态码值以及时间值,当然,还要开启两个外部中断,其一为紧急情况处理中断,一旦信号有效,即K键为低电平时进入中断服务子程序,东西南北路口的保持现有通行状态,再按一下K键,中断结束返回。其二为通行时间调整中断,若按键有效,进入相应的中断子程序,对时间进行调整,可延长或减少某一路段的通行时间,此后再按键则中断结束返回。 2.2 简易交通灯控制逻辑电路设计的技术方案

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

简易交通灯控制器的设计报告

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

交通灯控制电路

武汉工程大学邮电与信息工程学院实验报告 姓名张宇学号7402150330 指导老师 专业07自动化班级 03 实验室日期 实验题目交通灯控制器的EWB仿真 一、实验目的 通过前面几节的阐述,大家对EWB软件中的元件及仪器的应用有了一定的概念,所以这一节选择了综合性较强的电路——交通灯控制器的仿真设计。 二、实验内容 设计一个主要街道和次要街道十字路口的交通灯控制器。当主要街道绿灯亮6秒时,次要街道的红灯亮;接着主要街道黄灯亮2秒,次要街道的红灯仍然亮;紧接着次要街道的绿灯亮3秒,这时主要街道红灯亮;然后次要街道的黄灯亮1秒,主要街道红灯依然亮;最后主要街道绿灯亮,次要街道变红灯,依次顺序循环控制。 三、实验原理 根据以上要求可知主要街道从绿灯亮到下一次绿灯亮共需12秒,由上述要求可列出这六个灯的真值表,如表8-2所示,其中MG、MY、MR、CG、CY、CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。各灯的函数表达式克由EWB的逻辑转换仪获得。因为一次循环需要12秒,所以输入端可应用74LS163芯片来完成时间上的控制。其管脚和功能表基本上与74LS160一样,只是它是二进制计数器,时钟为下跳边触发。首先,12秒一循环相当是一

个模12技术,因此应将Q D、Q B和Q A通过一与非门接到芯片的CR清零端;其次,CP时钟端应输入1Hz的脉冲信号(这一元件可在电源元件库中找到,点中该元件后按鼠标右键,在属性一栏中修改它的输出频率为1Hz);最后,根据下面所得的函数表达式完成电路设计。 M G D C D B D C D B =+=? = M Y C B = M R D =+=? C G D B D A D B D A = C Y D B A = C R D 四、交通灯控制器电路的实现及结果仿真 由上述函数式可画出相应的电路图,按图所示的电路进行仿真,结果达到预期目的。为更直观地观察各灯之间的关系,我们在上图的基础上,街上逻辑分析仪进行仿真测试。如果出错,从图中也能很直观

数电课程设计——简易交通灯控制逻辑电路-(1)教学教材

数电课程设计——简易交通灯控制逻辑电 路-(1)

课程设计说明书 课程名称:数字电子技术课程设计 题目:简易交通灯控制逻辑电路 学生姓名:陈卓斌 专业: ____________ 班级: ____________ 学号: ____________ 指导教师: ____________ 日期: 2011 年 01 月 09 日

课程设计任务书 一、设计题目 简易交通灯控制逻辑电路设计 二、主要内容及要求 要求实现逻辑功能: 1、东西方向绿灯亮,南北方向红灯亮,时间60s。 2、东西方向与南北方向黄灯亮,时间5s。 3、南北方向绿灯亮,东西方向红灯亮,时间45s。 4、如果发生紧急事件,可以手动控制四个方向红灯全亮。 三、进度安排 1、2011.01.01-2011.01.07 复习归纳触发器、计数器的逻辑功能 3、2011.01.09 使用EWB5.12辅助设计电路,并进行调试。完成任务设计书。 四、总评成绩

简易交通灯控制逻辑电路设计 一、设计任务与要求 要求实现逻辑功能,在1-3状态循环。 1、东西方向绿灯亮,南北方向红灯亮,时间15s; 2、东西方向与南北方向黄灯亮,时间5s; 3、南北方向绿灯亮,东西方向红灯亮,时间l0s; 4、如果发生紧急事件,可以手动控制四个方向红灯全亮。 二、方案设计与论证y 1、分解任务要求 任务要求实际上就是4个状态,不妨设: S1、东西方向绿灯亮,南北方向红灯亮,时间15s; S2、东西方向与南北方向黄灯亮,时间5s; S3、南北方向绿灯亮,东西方向红灯亮,时间l0s; S4、如果发生紧急事件,可以手动控制四个方向红灯全亮。 【表1】 主电路状态与指示灯状态转换

交通灯控制电路设计.

交通灯控制电路设计作者姓名:2B 专业名称:测控技术与仪器 指导教师:2B 讲师

摘要 本设计主要分为三大模块:输入控制电路、时钟控制电路和显示电路。以AT89C51单片机为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红灯、绿灯和黄灯的燃亮功能;为了系统稳定可靠,采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P2口输出,由CD4511驱动LED数码管显示红灯燃亮时间。 关键词:AT89C51 LED显示交通灯控制

Abstract This design mainly divided into three modules: input control circuit, control circuit and the clock display circuit. With AT89C51 single-chip microcomputer as the center device to designing traffic light controller, realize the AT89C51 chip P0 mouth red lights, a green light and set up the yellow lights brighten function; In order to system is stable and reliable, and USES 74 LS14 Schmitt toggle circuit chip away shaking, to avoid the system for the input signal jitter produce false operation; Show time directly through the P2 mouth AT89C51 output, driven by CD4511 LED digital display red light lit the time. Keywords: AT89C51, LED, display, traffic, control

数电课程设计简易交通灯控制逻辑电路模板

数电课程设计简易交通灯控制逻辑电 路

课程设计说明书课程名称: 数字电子技术课程设计 题目: 简易交通灯控制逻辑电路 学生姓名: 陈卓斌 专业: ____________ 班级: ____________ 学号: ____________ 指导教师: ____________ 日期: 年 01 月 09 日

课程设计任务书 一、设计题目 简易交通灯控制逻辑电路设计 二、主要内容及要求 要求实现逻辑功能: 1、东西方向绿灯亮, 南北方向红灯亮, 时间15s。 2、东西方向与南北方向黄灯亮, 时间5s。 3、南北方向绿灯亮, 东西方向红灯亮, 时间l0s。 4、如果发生紧急事件, 能够手动控制四个方向红灯全亮。 三、进度安排 1、 .01.01- .01.07 复习归纳触发器、计数器的逻辑功能 2、 .01.08 查找常见器件的资料, 包括: 3、 .01.09 使用EWB5.12辅助设计电路, 并进行调试。完成任务设计书。 四、总评成绩

简易交通灯控制逻辑电路设计 一、设计任务与要求 要求实现逻辑功能, 在1-3状态循环。 1、东西方向绿灯亮, 南北方向红灯亮, 时间15s; 2、东西方向与南北方向黄灯亮, 时间5s; 3、南北方向绿灯亮, 东西方向红灯亮, 时间l0s; 4、如果发生紧急事件, 能够手动控制四个方向红灯全亮。 二、方案设计与论证 1、分解任务要求 任务要求实际上就是4个状态, 不妨设: S1、东西方向绿灯亮, 南北方向红灯亮, 时间15s; S2、东西方向与南北方向黄灯亮, 时间5s; S3、南北方向绿灯亮, 东西方向红灯亮, 时间l0s; S4、如果发生紧急事件, 能够手动控制四个方向红灯全亮。 【表1】 主电路状态与指示灯状态转换

简易交通灯控制的设计

课程设计任务书 课程名称电子线路课程设计 课程设计题目简易交通灯控制的设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个简易交通灯控制逻辑电路,要求: 1、东西方向绿灯亮,南北方向红灯亮,时间25s。 2、东西方向与南北方向黄灯亮,时间5s。 3、南北方向绿灯亮,东西方向红灯亮,时间15s。 4、如果发生紧急事件,可以动手控制四个方向红灯全亮。 二、设计要求 1. 在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1.童诗白,华成英主编,模拟电子技术基础,高等教育出版社2006 2.闫石,数字电子技术基础(第五版)出版社,高等教育出版社2005 3.陈孝彬,555集成电路实用电路集,高等教育出版社2002-8 4.王刚,TTL集成电路应用,机械工业出版社,2000-10 五、按照要求撰写课程设计报告

一.概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 随着经济的发展,城市现代化程度不断提高,交通需求和交通量迅速增长,城市交通网络中交通拥挤日益严重,道路运输所带来的交通拥堵、交通事故和环境污染等负面效应也日益突出,逐步成为经济和社会发展中的全球性共同问题。交通问题已经日益成为世界性的难题,城市交通事故、交通阻塞和交通污染问题愈加突出。为了解决车和路的矛盾,常用的有两种方法:一是控制需求,最直接的办法就是限制车辆的增加;二是增加供给,也就是修路。但是这两个办法都有其局限性。 智能交通灯系统正是解决这一矛盾的途径之一。智能交通灯系统是将先进的信息技术、数据通讯传输技术、电子传感技术、电子控制技术及计算机处理技术等有效的集成运用于整个地面交通灯管理系统而建立的一种在大范围内、全方位发挥作用的实时、准确、高效的综合交通运输管理系统。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。

交通灯控制电路的设计

交通灯控制电路的设计 一、设计任务与要求 设计一个十字路口的交通灯控制器,控制A,B 两条交叉道路上的车辆通行,东西方向为主干道A ,南北方向为副干道B ;具体要求如下: 1、每条道路设一组信号灯,每组信号灯有红、黄、绿3个灯组成,绿灯表示允许通过,红灯表示禁止通行,黄灯表示该车道上已过停车线的车辆继续通行,未过停车线的车辆停止通行。 2、主干道通行40秒,南北通行时间为20秒。 3、每次变换通行车道之前,要求黄灯先亮5s ,才能变换通行车道。 4、黄灯亮时,要求每秒闪烁一次。 二、方案设计与论证 首先根据设计的任务与要求,经过分析得出要设计的这个交通灯控制电路的功能满足以下几点: 1、控制主干道A 与副干道B 的信号灯的亮灭。 2、可以对主干道与副干道的信号灯亮的时间进行倒数计时。 3、实现黄灯的每秒闪烁。因此我们可以知道此电路应包含振荡电路、计数器电路、译码显示、主控制电路和信号灯译码驱动器等五个部分,并分析其原理图如图1所示并作出以下两种方案。 图1 方案一: 将整个电路工作循环周期65S 作为总时间,用74LS192芯片在此基础上进行分段,依次是40S 主干道绿灯亮副干道红灯亮,5S 主干道黄灯闪烁,20S 支干道红灯亮副干道绿灯亮,5S 副干道黄灯闪烁。再根据每一段的输出信号不同用基 计数器电路 振荡电路 主控制电路 信号灯译 码驱动器 译码显示

本逻辑门电路连接交通灯来实现每段时间不同的灯亮。此方案易于分析理解,缺点是相对于第二种方案可操作行比较差,需要的原件比较多,看起来比较复杂,不易实现,同时性价比较低。 方案二: 对红绿灯时段分别倒数计时,运用红绿灯变化时的高低电平对74LS192芯片进行置数,使之能分别进行40S、5S、20S倒数计时,再通过74LS160芯片的输出端进行各种逻辑组合运算控制红黄绿三种灯的亮灭,通过555定时器提供的脉冲信号控制黄灯的闪烁。此方案与方案一相比更易操作,用到的逻辑运算器件也比方案一要少,现实应用时可用性好,性价比较高。 三、单元电路设计与参数计算 1、振荡电路 555定时器是一种模拟和数字功能相结合的中规模集成器件,具有成本低,性能可靠的优点,只需外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器以及施密特触发器等脉冲产生与变换电路。 555定时器的功能表如下: 脉冲信号源选用555定时器产生,频率为1Hz,根据555定时器构成的多谐振荡器原理,可得出公式:振荡周期为T=0.7(R1+R2)C和振荡频率为f=1/T。各项数据可为R1=47KΩ,R2=51KΩ,C1=10uF,C2=10nF,根据555定时器的功能实现效果来连接电路,其电路图如图2所示。 图2 振荡电路

相关主题
文本预览
相关文档 最新文档