当前位置:文档之家› 状态机控制流水灯设计

状态机控制流水灯设计

状态机控制流水灯设计
状态机控制流水灯设计

《EDA》

设计报告

题目:状态机控制的流水灯设计学院:电子信息与电气工程学院专业:电子信息工程

班级:

姓名:

1 课题简介

在计算机技术的推动下,电子技术获得了飞速的发展,现代电子产品几乎渗透于社会的各个领域,有力的推动社会生产力的发展和社会信息程度化的提高,同时又促使现代电子产品性能的进一步提高,产品更新换代的节奏也越来越快。

EDA技术作为现在电子设计技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对硬件描述语言Verilog语言为系统描述手段完成的设计文件,自动的完成逻辑化简,逻辑分割,逻辑综合,结构综合,以及逻辑优化和仿真测试等功能。

Verilog语言在这个信息飞速发展的时代已经显得尤为重要。

2 设计目的

1.通过本次课程设计掌握QuartusⅡ环境下的基本电路设计方法。

2.掌握状态机的Verilog设计方法

3.学习仿真工具的使用方法

4.熟悉Verilog语言编程

3设计任务

1.设计一个状态机控制8个LED灯进行花样显示

2.花样不少于6种

3.同时用数码管显示

4.使蜂鸣器报警

4 设计方法

通过锁相环进行分频,进行时钟控制。流水灯的每一种花形与状态机联系起来进行控制。花形的顺序显示由三个按键控制。

5 设计步骤

a.8个流水灯的6种显示花样模块的建立

图1 点亮后从右向左依次熄灭

图2 点亮后从左向右依次熄灭

图3从右向左依次点亮

图4 从左向右依次点亮

图5 两个灯依次从左向右一次点亮

图6两个灯从右向左一次点亮

b.生成的流水灯模块如图7所示

图7

c.六路选择器模块的建立如图8所示

六路选择器的底层模块如下

图8 由图8编译成功后生成如模块

图9

d.状态机控制模块的建立

图10 生成的宏模块如下

图11 e.分频模块的建立如下

图12 生成如下模块

图13 f.数码管显示模块如下

生成如下模块

图15 g.蜂鸣器模块如下

图16 生成如图17所示模块

h.模块的搭建如下

花样流水灯设计

单片机课程设计 2014年 6月 15日 课 程 单片机课程设计 题 目 花样流水灯 院 系 电气工程及其自动化系 专业班级 1112班 学生姓名 温亿锋 学生学号 7 指导教师 张瑛

一丶任务 设计一款以AT89C51单片机作为主控核心,按键控制电路、流水灯显示电路以及单片机最小系统等模块组成的核心主控制电路。 二丶设计要求 通过发光二极管显示不同的花样(至少有六种花样),并且可以通过按键来控制流水灯的速度。 三丶设计方案 本方案主要是通过对基于单片机的多控制、多闪烁方式的LED流水灯循环系统的设计,来达到本设计的要求。其硬件构成框图如下图所示,以单片机为核心控制,由单片机最小系统(时钟电路、复位电路、电源)、按键控制电路、LED 发光二极管和5V直流电源组成。 单片机流水灯循环控制系统硬件框图 此设计方案中单片机的P1口接5路按键控制电路,实现流水灯花型的切换功能;单片机的P3.7引脚接上一个按钮开关以实现对流水灯闪烁频率的控制,即实现了快慢两种节拍实现花型的变换;单片机上的P2口接八路LED发光二极管组成流水灯电路,显示流水灯循环情况。 四丶系统硬件设计 4.1 直流稳压电源电路

对于一个完整的电子设计来讲,首要问题就是为整个系统提供电源供电模块,电源电路的稳定可靠是系统平稳运行的前提和基础。电子设备除用电池供电外,还采用市电(交流电网)供电。通过变压、整流、滤波和稳压后,得到稳定的直流电。直流稳压电源是电子设备的重要组成部分。本项目直流稳压电源为+5V。 直流稳压电源的制作一般有3种制作形式,分别是分立元件构成的稳压电源、线性集成稳压电源和开关稳压电源。下图为稳压电源采用的是三端集成稳压器7805构成的正5V直流电源。 三端固定式集成稳压电源电路图 AT89C51单片机的工作电压范围:4.0V---5.5V,所以通常给单片机外接5V 直流电源。此处用3节1.5V的干电池供电。 4.2 单片机最小系统 要使单片机工作起来,最基本的电路的构成由单片机、时钟电路、复位电路等组成,单片机最小系统如图所示。 时钟电路:本系统采用单片机内部方式产生时钟信号,用于外接一个12MHz 石英晶体振荡器和2个30pF微调电容,构成稳定的的自激振荡器,其发出的脉冲直接送入内部的时钟电路。 复位电路:确定单片机工作的起始状态,完成单片机的启动过程。单片机系统的复位方式有上电自动复位和手动按键复位。本设计采用手动按键复位,该复位方式同样具有上电自动复位功能。

基于plc控制的流水灯设计

课程设计任务书 题目:基于plc控制的流水灯课程设计专业:电气工程及其自动化 姓名: 胡进森 学号: 1002120121 班级: 10级电气工程(1)班 完成期限:2013年05月25日 指导教师签名: 课程负责人签名: 2013年04月15日

课程设计说明书 题目:基于plc控制的流水灯课程设计 姓名:胡进森 院(系):机电工程学院 专业班级:10级电气工程一班 学号:10102120121 指导教师:张国栋李好丽 成绩: 时间:2013 年05 月20 日至2013 年05 月25 日

目录 摘要 (1) 1.绪论 (3) 1.1 引言 (3) 1.2 采用流水灯的意义和目的 (3) 1.3 本次设计的主要内容 (3) 2. 流水灯系统的主要硬件设备的介绍 (4) 2.1可编程控制器的发展历史 (4) 2.1.1 可编程控制器的定义 (4) 2.1.2 可编程控制器的特点 (5) 2.1.3 PLC的基本结构和工作原理 (5) 2.2三菱FX系列PLC计数器(C) (9) 2.2.1内部计数器 (9) 2.2.2高速计数器(C235~C255) (9) 2.3三菱FX系列PLC定时器(T) (10) 2.3.1 通用定时器 (10) 2.3.2 积算定时器 (10) 2.4三菱FX系列辅助继电器(M) (10) 2.4.1通用辅助继电器(M0~M499) (11) 2.4.2断电保持辅助继电器(M500~M3071) (11) 2.4.3特殊辅助继电器 (11) 2.5三菱FX系列PLC输出继电器(Y) (11) 2.6三菱FX系列PLC输入继电器(X) (12) 3. 硬件电路设计及软件设计 (12) 3.1控制系统I/O点及地址分配 (12) 3.2电气控制系统原理图 (12) 3.3时序图 (13) 3.4梯形图 (13) 3.5指令表 (15) 4.系统调试 (16) 5.设计总结 (19) 6.参考文献 (21)

第14章 状态机设计(state machine design)

第14章 状态机设计(State Machine Design) 讲到VHDL设计而不讲state machine,感觉上就是不太完整,我们先来看看什么是state machine,它应该是一种流程控制的设计,在有限的状态中,根据判别信号的逻辑值决定后面要进入哪一个状态,这样的讲法似乎有些抽象,我们先来看看下面的状态图。 图14-1所显示的是一个十字路口的红绿灯控制设计,在一开始时信号Reset 会被设为逻辑’0’,此时state machine会在Reset状态,一直等到信号Reset变成逻辑’1’时,state machine才会进入真正的控制状态。在之后的三个状态中,我们各定义了一个counter,当进入Red或是之后的Green及Yellow状态时,相对的counter值即会开始递减。当counter值递减到0时,state machine即会改变到下一个状态。 当然state machine的执行就是依照这种方式进行,但是其中仍有许多的细节是设计者所要注意的,在接下来的章节中,我们会依据实际的例子来介绍state machine的设计方式。 14-1State Machine的建立 在这一节我们所举的例子是一个类似检查密码的设计,在一般办公室的门口都会有门禁管制,进门前须先输入一组四个数字的密码,当密码确认无误后门才会打开,除此之外还有更改密码的功用。我们先来看看其状态图。 在图14-2中一共有四个状态,一开始会维持在idle状态,当要更改或是第一次输入密码时,需要按下一个特殊的“密码更改”按键,此时InpinN信号会变成逻辑’0’的状态,状态机即会进入LoadPin的状态,接着再输入四个数字的密码,密码输入完毕按下“输入”按键,状态机即回到原先的idle状态。 在另一方面,当处于平时状态,有人进入门口要输入密码前,他也必需要按下另一个特殊键“密码输入”,表示之后输入的数字是待验证的密码,此时InData 信号会变成逻辑’0’,于是状态机进入InPin的状态。在输入四个数字之后,一个

基于单片机的LED流水灯设计

基于单片机的LED流水灯设计 设计任务 1掌握MCS-51系列8051、8255的最小电路及外围扩展电路的设计方法 2了解单片机数据转换功能及工作过程 3设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示4完成主要功能模块的硬件电路设计 5用proteus软件完成原理电路图的绘制 一设计方法 本课题使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。如果要让接在P1.0口的LED1亮起来,那么只要把P1.0口的电平变为低电平就可以了;相反,如果要接在P1.0口的LED1熄灭,就要把P1.0口的电平变为高电平;同理,接在P1.1~P1.7口的其他7个LED 的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的做流水灯了。由于人眼的视觉暂留效应以及单片机执行每条指令的时间很短,我们在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到

“流水”效果了。 二方案论证与比较 2.1循环移位法 在上个程序中我们是逐个控制P1端口的每个位来实现的,因此程序显得有点复杂,下面我们利用循环移位指令,采用循环程序结构进行编程。我们在程序一开始就给P1口送一个数,这个数本身就让P1.0先低,其他位为高,然后延时一段时间,再让这个数据向高位移动,然后再输出至P1口,这样就实现“流水”效果啦。由于8051系列单片机的指令中只有对累加器ACC中数据左移或右移的指令,因此实际编程中我们应把需移动的数据先放到ACC中,让其移动,然后将ACC移动后的数据再转送到P1口,这样同样可以实现“流水”效果。具体编程如下所示,程序结构确实简单了很多。 2.2查表法 上面的两个程序都是比较简单的流水灯程序,“流水”花样只能实现单一的“从左到右”流方式。运用查表法所编写的流水灯程序,能够实现任意方式流水,而且流水花样无限,只要更改流水花样数据表的流水数据就可以随意添加或改变流水花样,真正实现随心所欲的流水灯效果。我们首先把要显示流水花样的数据建在一个以TAB为标号的数据表中,然后通过查表指令“MOVC A,@A+DPTR”把数据取到累加器A中,然后再送到P1口进行显示。具体源程序如下,TAB标号处的数据表可以根据实

EDA课程设计---流水灯设计

EDA课程设计流水灯设计

目录 一、摘要··3 二、流水灯设计目的··4 三、流水灯设计流程··4 四、流水灯设计程序··5 五、流水灯设计管脚分配··7 六、功能仿真图··8 七、原理图波形图··9 八、设计注意事项··10

九、课程设计总结··11 十、参考文献··12 十一、评分表··13 一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、

自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。

实验四 有限状态机设计(2学时)

实验四有限状态机设计(2学时) 实验内容一: 状态机是指用输入信号和电路状态(状态变量)的逻辑函数去描述时序逻辑电路功能的方法,也叫时序机。有限状态机是指在设计电路中加入一定的限制条件,一般用来实现数字系统设计中的控制部分。 根据时序电路输出信号的特点可将时序电路划为Mealy 型和Moore 型两种。Moore型电路中,输出信号仅仅取决于存储电路的状态。Mealy型电路中,输出信号不仅取决于存储电路的状态,而且还取决于输入变量。图1是某Mealy型电路的状态转换图,图中圆圈内的S0、S1等代表电路的状态,状态转换箭头旁斜杠“/”上边的数字代表输入信号,斜杠“/”下边的数字代表输出信号。假设电路的当前状态为S0,当输入信号为0时,电路的下一个状态仍为S0,输出信号为0;当输入信号为1时,电路的下一个状态为S1,输出为1。 图1 Mealy状态机 下面的程序中使用两个进程来描述该状态机。第一个进程负责状态转化,在CP上升沿到达时,当前状态(PresetState)向下一个状态(NextState)的转换;第二个进程负责检测输入信号(DIN)和当前状态(PresetState)的值,并由CASE-WHEN 语句决定输出信号(OP)和下一个状态值(NextState)的值。请补充下图中虚线“…”部分省略掉的程序,然后对完整程序进行编译,并用Tools->Netlist Views->State Machine Viewer和RTL Viewer工具查看该状态机的状态图和RTL顶层图。

… … 实验内容二: 论文《基于VHDL的一个简单Mealy状态机》中设计了一个Mealy状态机用来检测数据流“1101010”,用以验证状态机在数据检测上的应用。请在读懂文中程序的基础上,在Quartus Ⅱ软件中通过编译仿真得到状态图和波形图,仿真中输入波形的设置应能体现该状态机的用途。 实验报告: 本次实验占用两个学时,请于12周周四(5月12日)上课时交实验报告。对于实验内容一,报告的内容应重在程序的完善上,对于实验内容二,报告的内容应重在对论文中源程序的分析和理解,以及仿真的波形图上。

微机原理课程设计流水灯控制系统.doc

微机原理课程设计 流水灯控制系统 姓名 :XX 学院:物理电气信息学院 班级: 2010 电子 姓名 :12010245

流水灯控制系统 一、设计内容: 本课程设计选用8086 对 8255A的 A口控制来实现模拟流水灯功能的 实现。编写相关程序,通过proteus仿真软件来实现我名字“安亮” 两个字的闪烁,“安”字接 8255 的 A 口的 P0,“亮”字接 A 口的 P1。先让“安”字和“亮”字同时点亮,再让两个字同时暗,接着让“安”字点亮,再让“亮”字点亮,然后让“安”字和“亮”字同时亮暗闪 烁八次,再跳到开始,以此循环。 二、设计目的: 1、了解流水灯的基本工作原理 2、熟悉 8255A 并行接口的各种工作方式和应用 3、利用 8255A 接口,LED 发光二极管,设计一个流水灯模拟系统,让我的名字“安亮”两个字按一定规律点亮。 三、实验原理 在 8086 系统中,采用 16 位数据总线,进行数据传输时,CPU

总是将低 8 位数据线上的数据送往偶地址端口,而过高8位数据线上 的数据送往奇地址端口反过来,从偶地址端口取得的数据总是通过低 8 位数据线传送到CPU,从奇地址端口取得的数据总是通过高8 位数据线送到 CPU。在 8086 系统中,将 8255A的 A1端和地址总线的 A29255A 在对 CPU并且,相连, A1 端和地址总线的 A0 的 8255A 而将相连, 的端口进行访问时,将地址总线的 A0 位总是设置为 0。本课程设计通 过对 8255A 的 A 口控制来实现模拟流水灯功能的实现。“安”接 A 口 的 P0,“亮”接 A口的 P1,实现两个字按一定规律的一个闪烁。 8255 的内部结构 255A 内部结构由以下四部分组成:数据端口A、B、C;A组控制和 B 组控制;读 / 写控制逻辑电路;数据总线缓冲器。 端口 A:包括一个 8 位的数据输出锁存 / 缓冲器和一个 8 位的数据 输入锁存器,可作为数据输入或输出端口,并工作于三种方式中的任何一种。

状态机思路在单片机程序设计中的应用

状态机思路在单片机程序设计中的应用 状态机的概念 状态机是软件编程中的一个重要概念。比这个概念更重要的是对它的灵活应用。在一个思路清晰而且高效的程序中,必然有状态机的身影浮现。 比如说一个按键命令解析程序,就可以被看做状态机:本来在A状态下,触发一个按键后切换到了B状态;再触发另一个键后切换到C状态,或者返回到A状态。这就是最简单的按键状态机例子。实际的按键解析程序会比这更复杂些,但这不影响我们对状态机的认识。 进一步看,击键动作本身也可以看做一个状态机。一个细小的击键动作包含了:释放、抖动、闭合、抖动和重新释放等状态。 同样,一个串行通信的时序(不管它是遵循何种协议,标准串口也好、I2C也好;也不管它是有线的、还是红外的、无线的)也都可以看做由一系列有限的状态构成。 显示扫描程序也是状态机;通信命令解析程序也是状态机;甚至连继电器的吸合/释放控制、发光管(LED)的亮/灭控制又何尝不是个状态机。 当我们打开思路,把状态机作为一种思想导入到程序中去时,就会找到解决问题的一条有效的捷径。有时候用状态机的思维去思考程序该干什么,比用控制流程的思维去思考,可能会更有效。这样一来状态机便有了更实际的功用。 程序其实就是状态机。 也许你还不理解上面这句话。请想想看,计算机的大厦不就是建立在“0”和“1”两个基本状态的地基之上么? 状态机的要素 状态机可归纳为4个要素,即现态、条件、动作、次态。这样的归纳,主要是出于对状态机的内在因果关系的考虑。“现态”和“条件”是因,“动作”和“次态”是果。详解如下: ①现态:是指当前所处的状态。 ②条件:又称为“事件”。当一个条件被满足,将会触发一个动作,或者执行一次状态的迁移。 ③动作:条件满足后执行的动作。动作执行完毕后,可以迁移到新的状态,也可以仍旧保持原状态。动作不是必需的,当条件满足后,也可以不执行任何动作,直接迁移到新状态。 ④次态:条件满足后要迁往的新状态。“次态”是相对于“现态”而言的,“次态”一旦被激活,就转变成新的“现态”了。

LED流水灯设计

单片机课程设计报告 LED流水灯的设计 专业: 姓名:学号: 姓名:学号: 姓名:学号: 2015年月日

目录 LED流水灯的设计0 第一章绪论1 设计目的1 设计任务1 设计方法1 第二章设计内容与所用器件1 基本功能1 LED彩灯错误!未定义书签。 循环移位法2 第三章硬件系统设计2 单片机时钟电路2 第四章软件设计2 汇编语言和C语言的特点及编程 2 LED显示原理3 第五章系统调试与存在的问题4 程序下载4 硬件调试5 软件调试5 总结5 参考文献 6 LED流水灯的设计 [摘要]:当今社会,随着人们物质生活的不断提高,电子产品已经走进了家家户户,无论是生活或学习,还是娱乐和消遣几乎样样都离不开电子产品,大型复杂的计算能力是人脑所不能胜任的,而且比较容易出错。计算器作为一种快速通用的计算工具方便了用户的使用。计算器可谓是我们最亲密的电子伙伴之一。本设计着重在于分析计算器软件和开发过程中的环节和步骤,并从实践经验出发对计算器设计做了详细的分析和研究。 单片机由于其微小的体积和极低的成本,广泛的应用于家用电器、工业控制等领域中。在工业生产中。单片微型计算机是微型计算机称单片机,特别适用于控制领域,故又称为微控制器。 本系统就是充分利用了8051芯片的I/O引脚。系统以采用MCS-51系列单片机Intel8051为中心器件来设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示,并实现循环的速度可调。 [关键字]:单片机技术;系统设计;LED流水灯

第一章绪论 设计目的 通过本次课题设计,应用《单片机原理及应用》等所学相关知识及查阅资料,完成简易LED流水灯系统设计,以达到理论与实践更好的结合、进一步提高综合运用所学知识和设计的能力的目的。 通过本次设计的训练,可以使我在基本思路和基本方法上对基于MCS-51单片机的嵌入式系统设计有一个比较感性的认识,并具备一定程度的设计能力。 设计任务 在本次课程设计中,主要完成如下方面的设计任务: 1、掌握MCS-51系列8051的最小电路及外围扩展电路的设计方法; 2、了解单片机数据转换功能及工作过程; 3、设计LED流水灯系统,实现8个LED霓虹灯的左、右循环显示; 4、完成主要功能模块的硬件电路设计。 设计方法 本课题使用STC89C52RC单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极管的单片机最小应用系统,即为由发光二极管、晶振、复位、电源等电路和必要的软件组成的单个单片机。 如果要让接在口的LED1亮起来,那么只要把口的电平变为低电平就可以了;相反,如果要接在口的LED1熄灭,就要把口的电平变为高电平;同理,接在~口的其他7个LED的点亮和熄灭的方法同LED1。因此,要实现流水灯功能,我们只要将发光二极管LED1~LED8依次点亮、熄灭,8只LED灯便会一亮一暗的做流水灯了。 由于人眼的视觉暂留效应以及单片机执行每条指令的时间很短,我们在控制二极管亮灭的时候应该延时一段时间,否则我们就看不到“流水”效果了。 第二章设计内容与所用器件 基本功能 利用STC89C52RC作为主控器组成一个LED流水灯系统,实现8个LED霓虹灯的左、右循环显示。 可选器件 51系列单片机、电容C104、、18?和1K的电阻、LED灯、按键、晶振等。 总体框架图

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

流水灯控制系统设计

微机原理课程设计 目录 第1章方案的论述以及与最终方案的确定·····································- 1 - 1.1第一种方案的论述 (1) 1.2第二种方案的论证 (1) 1.3第三种方案的论述 (1) 1.4最终方案的确定 (1) 第2章硬件设计 .................................................................................- 2 -2.1总体方案设计分析 .. (2) 2.2系统逻辑框图 (2) 2.3主要元器件简介 (2) 2.3.1 8086CPU ················································································································ - 2 - 2.3.2 地址锁存器74LS373的内部电路与工作原理····················································· - 4 - 2.3.3 可编程外围接口芯片8255A的简介···································································· - 5 -第3章软件设计 ·················································································- 9 - 3.1程序流程设计 (9) 3.1.1 主程序流程··········································································································· - 9 - 3.1.2 程序流程图········································································································· - 10 - 3.1.3 系统硬件连接图 ································································································· - 11 - 3.1.4 源程序设计(附录) ............................................................................................... - 11 -3.2设计最终理想结果及原理 (11) 3.2.1 左向移动流水灯 ································································································· - 11 - 3.2.2 右向移动流水灯 ································································································· - 11 -设计心得 ·····························································································- 12 -参考文献 ·····························································································- 13 -附录······································································································- 14 - - 1 -

状态机控制的流水灯设计

《EDA》 设计报告 题目:状态机控制的流水灯设计 学院:电子信息与电气工程学院专业:电子信息工程 班级: 姓名:

1课题简介 在计算机技术的推动下,电子技术获得了飞速的发展,现代电子产品几乎渗透于社会的各个领域,有力的推动社会生产力的发展和社会信息程度化的提高,同时又促使现代电子产品性能的进一步提高,产品更新换代的节奏也越来越快。 EDA技术作为现在电子设计技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对硬件描述语言Verilog语言为系统描述手段完成的设计文件,自动的完成逻辑化简,逻辑分割,逻辑综合,结构综合,以及逻辑优化和仿真测试等功能。 Verilog语言在这个信息飞速发展的时代已经显得尤为重要。 2 设计目的 1.通过本次课程设计掌握QuartusⅡ环境下的基本电路设计方法。 2.掌握状态机的Verilog设计方法 3.学习仿真工具的使用方法 4.熟悉Verilog语言编程 3设计任务 1.设计一个状态机控制8个LED灯进行花样显示 2.花样不少于6种 3.同时用数码管显示 4.使蜂鸣器报警

4 设计方法 通过锁相环进行分频,进行时钟控制。流水灯的每一种花形与状态机联系起来进行控制。花形的顺序显示由三个按键控制。 5 设计步骤 a.8个流水灯的6种显示花样模块的建立 图1 点亮后从右向左依次熄灭

图2点亮后从左向右依次熄灭 图3从右向左依次点亮

图4从左向右依次点亮 图5两个灯依次从左向右一次点亮

图6两个灯从右向左一次点亮 b.生成的流水灯模块如图7所示 图7 c.六路选择器模块的建立如图8所示

单片机花样流水灯设计实验报告

**大学 物理学院 单片机花样流水灯设计实验 课题:花样流水灯设计 班级: 物理 *** 姓名: *** 学号: ……………

当今时代的智能控制电子技术,给人们的生活带来了方便和舒适,而每到晚上五颜六色的霓虹灯则把我们的城市点缀得格外迷人,为人们生活增添了不少色彩。 制作流水灯的方法有很多种,有传统的分立元件,由数字逻辑电路构成的控制系统和单片机智能控制系统等。本设计介绍一种简单实用的单片机花样流水灯设计与制作,采用基于MS-51的单片机AT89C51和发光二极管、晶振、复位、电源等电路以及必要的软件组成的以AT89C51为核心,辅以简单的数码管等设备和必要的电路,设计了一款简易的流水灯电路板,并编写简单的程序,使其能够自动工作。 本设计用AT89C51单片机为核心自制一款简易的花样流水灯,并介绍了其软件编程仿真及电路焊接实现,在实践中体验单片机的自动控制功能。该设计具有实际意义,可以在广告业、媒体宣传、装饰业等领域得到广泛应用。 关键字:AT89C51 单片机流水灯数码管

1. 单片机及其发展概况 单片机又称为单片微计算机,其特点是将微型计算机的基本功能部件(如中央处理器(CPU)、存储器、输入接口、输出接口、定时/计数器及终端系统等)全部集成在一个半导体芯片上。单片机作为一种高集成度微型计算机,已经广泛应用于工业自动化控制、智能仪器仪表、通信设备、汽车电子与航空航天电子系统、智能家居电器等各个领域。 2. Protues仿真软件简介 Protues以其数量众多的元件数据库、标准化的仿真仪器、直观的捕获界面、简洁明了的操作、强大的分析测试、可信的测试结果, 为电子工程设计节约研发时间,节省了工程设计费用。利用Protues软件设计一款通过数码管显示计数时间的流水灯电路及Keil C软件编程后,再将两者关联则可以简单快速的进行仿真。 【实验设计目标】 设计要求以发光二极管作为发光器件,用单片机自动控制,对8个LED 灯设计至少3种流水灯显示方式,每隔20秒变换一次显示花样,计时通过一个二位七段数码管显示。

有限状态机设计

有限状态机设计 实验报告 一.实验题目 有机状态机设计 二.实验目的 掌握有机状态机设计的基本方法。 三.实验远离 状态机是指用输入信号和电路状态(状态变量)的逻辑函数去描述时序逻辑电路功能的方法,也叫时序机。有限状态机是指在设计电路中加入一定的限制条件,一般用来实现数字系统设计中的控制部分。 四.实验内容

实验内容一: 状态机是指用输入信号和电路状态(状态变量)的逻辑函数去描述时序逻辑电路功能的方法,也叫时序机。有限状态机是指在设计电路中加入一定的限制条件,一般用来实现数字系统设计中的控制部分。 根据时序电路输出信号的特点可将时序电路划为Mealy 型和Moore 型两种。Moore型电路中,输出信号仅仅取决于存储电路的状态。Mealy型电路中,输出信号不仅取决于存储电路的状态,而且还取决于输入变量。图1是某Mealy型电路的状态转换图,图中圆圈内的S0、S1等代表电路的状态,状态转换箭头旁斜杠“/”上边的数字代表输入信号,斜杠“/”下边的数字代表输出信号。假设电路的当前状态为S0,当输入信号为0时,电路的下一个状态仍为S0,输出信号为0;当输入信号为1时,电路的下一个状态为S1,输出为1。 图1 Mealy状态机 下面的程序中使用两个进程来描述该状态机。第一个进程负责状态转化,在CP上升沿到达时,当前状态(PresetState)向下一个状态(NextState)的转换;第二个进程负责检测输入信号(DIN)和当前状态(PresetState)的值,并由CASE-WHEN 语句决定输出信号(OP)和下一个状态值(NextState)的值。请补充下图中虚线“…”部分省略掉的程序,然后对完整程序进行编译,并用Tools->Netlist Views->State Machine Viewer和RTL Viewer工具查看该状态机的状态转移图和RTL原理图。

用单片机实现流水灯的控制设计知识分享

用单片机实现流水灯的控制设计

用单片机实现流水灯的控制设计 1.引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效方法就是理论与实践并重,本设计课题是流水灯的控制设计,流水灯是一串按一定的规律像流水一样连续闪亮。流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。 2.硬件组成 2.1 总体方案设计分析 要求用8255的A口和B口做为输出,接16个发光二极管,从而实现16位流水灯的显示效果,基本的界限可如下图A所示,在C口的地两位接两个开关,实现两个扩展功能的控制。 i:基本流水灯显示电路 A口和B口两个端口不能同时复制,从而在试验中可以用BX进行需要复制的数据的存储,因为BX可以分从BH BL两个部分进行独立的操作,在本次试验中用BH对A口进行赋值,用BL对B口进行赋值,通过演示一段时间再对BH BL进行移位和输出,实现流水灯的效果。

ii:正反方向选择 把PC.0口接在开关上,编写程序对C端口的数据进行读取,并进行判断,使得当PC.0为高电平的时候则灯进行左移,同时B口与A口相反。 iii:快慢速度控制 把PC.1口接在开关上,编写程序对C端口的数据进行读取,并进行判断,使得当PC.1为高电平的时候则延时的时间缩短,使得流水灯的流水速度加快,低电平的时候则进行延时的时间变长,使得流水灯的流水速度加快。 3硬件原理设计 A该模块的WR.RD分别练到PC总线接口模块的XIOW和XIOR B该模块的数据(AD0~AD7)、地址线(A0~A7)分别连到PC总线接口模块的数据(D0~D7)、地址线(A0~A7) C 8255模块选通线CA连到PC总线接口模块的IOY3 D 8255的PA0~PA7连到发光二极管的L1~L8;8255的PB0~PB7连到发光二极管的L9~L16 E 8255的PC0 PC1分别练到开关 K0 K1 F 软件流程框图及程序清单 按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统及典型系统等。AT89C51单片机是美国ATMEL公司生产的低电压、高性能CMOS 8位单片机,具有丰富的内部资源:4kB闪存、128BRAM、32根I/O口线、2个16位定时/计数器、5个向量两级中断结构、2个全双工的串行口,具有4.25~5.50V的电压工作范围和0~24MHz工作频率,使用AT89C51单片机时无须外扩存储器。因此,本流水灯实际上就是一个带有八个发光二极

三层电梯控制系统的设计

三层电梯控制系统的 实验设计 指导老师:朱静 学生:马小娟 班级:电科092 学号:095203403

三层电梯控制系统的设计 第一节设计要求 要求用FPGA设计实现一个3层电梯的控制系统。系统的要求如下: (1)电梯运行规则:当电梯处在上升模式时,只响应比电梯所在位置高的上楼请求,由下向上逐个执行,直到最后一个上楼请求执行完毕。如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。电梯处在下降模式时,工作方式与上升模式相反。设电梯共有3层,每秒上升或下降一层。 (2)电梯初始状态为一层,处在开门状态,开门指示灯亮。 (3)每层电梯入口处均设有上下请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。 (4)设置电梯所处位置的指示及电梯上升或下降的指示。 (5)电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。开门4妙后,电梯门关闭,开门指示灯灭,电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (6)电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后清除。 第二节三层电梯控制系统的功能模块及流程图 电梯控制器的功能模块如图2.1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图2.1 电梯控制器原理图

基于FSM的电梯控制系统的设计与实现

万方数据

万方数据

控制系统中文核心期刊《微计算机信息》(测控自动化)2006年第22卷第9—1期 求,电梯首先在第二层停留,然后下降到一层,随后再在响应第二层上升请求,上升到二层,乘客进人电梯以后要求上升到三层,所以电梯最后停留的位置是三层。 3.3实验结果 在完整的系统设计中使用Max+PlusII完成VHDL描述和原理图的层次设计。在底层设计中,用VHDL分别实现每一个模块的功能,将每个模块生成可供Max+PlusII调用的器件符号,再将这些器件符号连接在一起构成整个系统原理图,如图4所示。 图4系统电路原理图 整个系统设计完成后,经过编译生成.pof文件,仿真成功后.下载到EPM7128SLC84—15芯片中。根据管脚分配图对已有的硬件电路进行连线,实验电路如图5所示。 图5实验电路图 3.4结果分析 通过对实际的硬件测试,数码管正确显示了楼层.发光二极管响应显示了电梯的状态.通过对不同初始状态的测试,发现除了由按键抖动带来的部分误操作外,电梯的状态转换完全符合电梯运行规则。说明这种设计方法是有效的。 由于很容易通过编程改变CPLD器件的控制功能.而且电梯运行的基本状态变换规律是不随楼层数变化的.因此,只需要改变相应的输入、输出端口设计就可满足不同楼层数的设计需要。 4结束语 本次设计基于自顶向下的设计方法,用vhdl实现了电梯各状态之间的转换程序控制。在Max+PlusII环境下通过使用SynplifyPro软件特有的综合功能,从对软件程序的编译、仿真到可编程逻辑芯片的功能实现都进行了优化,大大提高了设计效率。这种方法可广泛用于各种复杂状态机控制的设计之中。 本文作者创新点:当前.最常用的cpld芯片是由Ahera公司提供的,Max+PlusⅡ是与之对应的开发集成环境。但Max+PlusII无法完成对复杂状态机程序的编译优化,SynplifyPro有很好的综合与优化、布线功能.但要下载到由Ahera公司提供的cpld芯片中,形成硬件系统又离不开Max+PlusII。本次设计通过把 Synplify Pro引入Max+PlusII对所设计的电梯控制程序完成了综合仿真.并下载到Ahera公司生产的cpld芯片中,最终完成了硬件测试。这种把Max+PlusII与Synplify Pro有机结合完成相对复杂的状态机控制的方法很有现实意义,可广泛应用于诸如自动售货机、取款机、公交自动投币系统等需要对多状态转换进行控制的领域。 参考文献: [1]彭宝,范婷婷,马建国.基于VerilogHDL语言的FPGA设计[J】.微计算机信息.2004,10:81—82。 [2]李宗伯,王蓉晖.VHDL设计表示和综合.北京:机械工业出版社.2002 [3】潘松,黄继业.EDA技术应用教程.北京:科学出版社,2002[4】褚振勇,翁木云.FPGA设计及应用.西安:西安电子科技大学出版社,2002 作者简介:聂翔:男,1968年,陕西理工学院电子与信息工程系副教授。 (723003陕西汉中陕西理工学院)聂翔王春侠 (ShaanxiUniversityofTechnology,HanzhongShaanxi723003)Nie,XiangWang,Chunxia 通讯地址: (723000陕西汉中明珠小区261号信箱)聂翔 (投稿日期:2006.1.6)f1|参稿日期:2006.2.17) (接77页)原理与开发.北京:电子工业出版社,2005.4 [4]毛晓波,贾更新.基于定点DSP的浮点开平方算法的实现[J]微计算机信息,2003,4:40 作者简介:杜劲松:男,汉,硕士生导师,主要从事计算机测量与控制、自动化系统集成方面的研究;蒋华英:女,1980年12月生,汉,中科院在读硕士,模式识别与智能系统专业。 Biography:DuJinsong:Male,Chinese,Mastersupervisor,Mainfield:Computertestingandcontrol,Automatedintegration;JiangHuaying:Female,BorninDec.1980,Chinese,GraduatestudentofChineseAcademySciences,MajorinPatternRecognitionandIntelligentSystem. (110016沈阳中国科学院沈阳自动化研究所)杜劲松蒋华英 (100039北京中国科学院研究生院)蒋华英 (ShenyangInstituteofAutomationChineseAcademySciences,Shenyang110016)Du,JinsongJiang,Huaying (GraduateSchooloftheChineseAcademyofSciences,Beijing100039)Jiang,Huaying 通讯地址:(100016辽宁省沈阳市南塔街114号中科院沈阳自动化研究所第五研究室)蒋华英 (投稿日期:2006.1.8)(修稿日期:2006.2.18) 一118—360.,-L,年邮局订阅号:82.946   万方数据

流水灯设计

新能源与动力工程学院课程设计报告 单片机系统课程设计 专业: 班级: 姓名: 学号: 指导教师: 2014年7 月

新能源与动力工程学院课程设计任务书课程名称:单片机系统课程设计指导教师(签名): 班级:姓名:学号:

指导教师评语及成绩评定表 指导教师签字: 年月日

目录 绪论 ....................................................................................................................... - 1 -1 引言 ....................................................................................................................... - 1 - 1.1设计任务 .................................................................................................... - 2 - 1.2设计意义 .................................................................................................... - 2 - 1.3设计思路 .................................................................................................... - 2 - 1.4设计目的 .................................................................................................... - 2 - 2 总体设计 ............................................................................................................... - 3 - 2.1系统框图 .................................................................................................... - 3 -3设计步骤 ................................................................................................................ - 3 - 3.1 STC89C52简介 ......................................................................................... - 3 - 3.2硬件设计 .................................................................................................... - 5 - 3.3单片机时钟电路 ........................................................................................ - 5 - 3.4复位电路 .................................................................................................... - 5 - 3.5工作电路 .................................................................................................... - 6 - 4 软件设计 ............................................................................................................... - 6 - 4.1设计要求 .................................................................................................... - 6 - 4.2软件的流程图 ............................................................................................ - 7 - 4.3程序代码 .................................................................................................... - 7 - 5 软件调试 ............................................................................................................... - 9 - 6 总结 ....................................................................................................................... - 9 - 7 心得体会 ............................................................................................................... - 9 -参考文献 ................................................................................................................. - 10 -附录1 元件清单 .................................................................................................... - 11 -

相关主题
文本预览
相关文档 最新文档