当前位置:文档之家› 水力发电计算中的正确公式

水力发电计算中的正确公式

水力发电计算中的正确公式

水力发电计算中的正确公式

在探讨水力发电存在一些问题中,就水力发电的出力计算,对既有的用于大型水库的P=9.81QH(kw) —(1)和用于小型水库的E=(6.0~8.0)QH(kw)—(2)两个公式时,发现小型水库效率之所以低于大型水库的原因,是由于小型水库使用的水轮机普遍小于大型水库的水轮机,所以单位水流流经水轮机的距离也就更短,亦即做功的时间更短,所以效率也就更低了。而且现代卫星科学技术证明:在离地面200 km处,重力加速度g值接近为零,离地面36000km处g值绝对为零。将(6.0~8.0)取代g=9.81,那就意味着将小型水库假设到离地面37~77km的高空,这显然是有违科学原理的。所以用公式(2)来作小型水库发电的出力计算是不可以的。在对现有水库水轮发电机组实际效率检验时发现,公式(1)中的“H”,根本与实际做功的能大小无关,功率的大小实质就是流量Q的大小与其在水轮机上流经的距离乘以9.81的结果。水头“H”值的大小,在此只起决定水流的速度和单位时间流量的作用。

电机组为例:水头H=43m,流量Q=102.8m3/s,实际功率P=39500kw,根据(3)式所说的力就是9.81Q,所以39500 =9.81*102.8*103*S/102,(将1m3化为1000kg,9.81取精确值9.80665得9806.65N,1N=1kg.m/s2,1kw=102 kgf.m/s)即S=39500*102/9806.65*102.8=3.9965m,也就是说,单位水流在水轮机上做功的距离≈4m。或V=(2gH)1/2=29.046 m/s,T=S/V=0.13759秒,则(4)式可成为W=9806.65*102.8*29.046*0.13759/102=39498.5kw,此数与39500 kw极为接近。可用这一计算方法检验任何水库的单机发电功率都会是正确的。

汇编语言实现十进制加减计算器

课程设计 题目十进制数加减计算器学院计算机科学与技术 专业计算机科学与技术 班级计算机0808班 姓名何爽 指导教师袁小玲 2010 年12 月31 日

课程设计任务书 学生姓名:何爽专业班级:计算机0808班 指导教师:袁小玲工作单位:计算机科学与技术学院 题目: 十进制数加减计算器的设计 初始条件: 理论:学完“汇编语言程序设计”、“课程计算机概论”、“高级语言程序设计”和“数字逻辑”。 实践:计算机学院科学系实验中心提供计算机和软件平台。如果自己有计算机可以在其上进行设计。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)十进制数加减计算器的设计。 (2)程序应有操作提示、输入和输出,界面追求友好,最好是菜单式的界面。 (3)设计若干用例(测试数据),上机测试程序并分析(评价)所设计的程序。 (4)设计报告格式按附件要求书写。课程设计报告书正文的内容应包括: 在正文第一行写课程设计题目; 1.需求说明(要求、功能简述)或问题描述; 2.设计说明(简要的分析与概要设计); 3.详细的算法描述; 4.源程序与执行结果(含测试方法和测试结果); 5.使用说明; 6.总结,包括设计心得(设计的特点、不足、收获与体会)和展望(该 程序进一步改进扩展的设想)。 时间安排: 设计时间一周:周1:查阅相关资料。 周2:系统分析,设计。 周3~4:编程并上机调试。 周5:撰写课程设计报告。 设计验收安排:20周星期五8:00起到计算机学院科学系实验中心进行上机验收。 设计报告书收取时间:20周的星期五下午5:00之前。 指导教师签名: 2010年12月31日 系主任(或责任教师)签名: 2010年12月31日

我国水力发电的现状和前景

我国水力发电的现状和前景 前言 电力是现代化工业生产和生活不可或缺的动力能量,水力发电是电力工业的一个门类。建国50多年来,我国的水电事业有了长足的发展,取得了令人瞩目的成绩。水电在我国的兴起是有其深刻的背景的。 首先,我国有大规模利用水能资源的条件和必要性。我国水能资源丰富,不论是水能资源蕴藏量,还是可能开发的水能资源,在世界各国中均居第一位。但是目前我国水能的利用率仅为13%,水力发电前景广阔。随着我国经济的快速增长,能源消耗总量也大幅度增长,煤炭、石油和天然气这些常规能源的消耗量越来越大,甚至需要依靠进口。预计到2010年我国大约需要进口1亿t石油,并且其进口依存度将达40%左右,甚至更高。在这样的情势下,发展新能源就显得特别重要而紧迫。而水能就是一种可再生的新能源,它取之不尽用之不竭。 其次,发展水电也是环境保护的需要。常规发电方式,煤的燃烧过程中排放出大量的有害物质使大气环境受到严重污染,引发酸雨和“温室效应”等多方面的环境问题。而核能发电有很大的潜在危险性,一旦泄漏造成污染,对环境的破坏作用是不可估量的。水力发电不排放有害的气体、烟尘和灰渣,又没有核辐射污染,是一种清洁的电力生产,具有明显的优势。 再次,水力发电经过一个多世纪的发展,其工程建设技术、水轮发电机组制造技术和输电技术于完善,单机容量也不断增大。并且水力发电成本低廉,运行的可靠性高,故其发展极为迅速。 l 我国水能资源概况 我国河流众多,径流丰沛,落差巨大,蕴藏着丰富的水能资源。据统计,我国河流水能资源蕴藏量6.76亿kw,年发电量5922亿kwh;可能开发水能资源的装机容量3.78亿kw,年发电量9200亿kwh。 由于气候和地形地势等因素的影响,我国的水能资源在不同地区和不同流域的分布很不均匀;此外我国水能资源的突出特点是河流的河道陡峻,落差巨大,发源于“世界屋脊”青藏高原的大河流长江、黄河、雅鲁藏布江、澜沧江、怒江等,天然落差都高达5000 m左右,形成了一系列世界上落差最大的河流,这是其他国家所没有的。充分了解我国水能资源的特点,才能在开发过程中因地制宜,合理地充分地利用水能资源。 2 我国水电开发现状 一个世纪,特别是建国以来,经过几代水电建设者的艰苦努力,中国的水电建设从小到大、从弱到强不断发展壮大。改革开放以来,水电建设更是迅猛发展,工程规模不断扩大。50年代至60年代初,主要修复丰满大坝和电站,续建龙溪河。古田等小型工程,着手开发一些中小型水电(如官厅、淮河、黄坛口、流溪河等电站)。在50年代后期条件逐步成熟后,对一些河流进行了梯级开发,如狮子滩、盐锅峡、拓溪、新丰江、新安江、西津和猫跳河、

电工常用计算公式

电工常用计算公式(口诀) 已知变压器容量,求其各电压等级侧额定电流 口诀a : 容量除以电压值,其商乘六除以十。 说明:适用于任何电压等级。 在日常工作中,有些电工只涉及一两种电压等级的变压器额定电流的计算。将以上口诀简化,则可推导出计算各电压等级侧额定电流的口诀: 容量系数相乘求。 已知变压器容量,速算其一、二次保护熔断体(俗称保险丝)的电流值。 口诀b : 配变高压熔断体,容量电压相比求。 配变低压熔断体,容量乘9除以5。 说明: 正确选用熔断体对变压器的安全运行关系极大。当仅用熔断器作变压器高、低压侧保护时,熔体的正确选用更为重要。这是电工经常碰到和要解决的问题。 已知三相电动机容量,求其额定电流 口诀c :容量除以千伏数,商乘系数点七六。 说明: (1)口诀适用于任何电压等级的三相电动机额定电流计算。由公式及口诀均可说明容量相同的电压等级不同的电动机的额定电流是不相同的,即电压千伏数不一样,去除以相同的容量,所得“商数”显然不相同,不相同的商数去乘相同的系数0.76,所得的电流值也不相同。若把以上口诀叫做通用口诀,则可推导出计算220、380、660、3.6kV电压等级电动机的额定电流专用计算口诀,用专用计算口诀计算某台三相电动机额定电流时,容量千瓦与电流安培关系直接倍数化,省去了容量除以千伏数,商数再乘系数0.76。 三相二百二电机,千瓦三点五安培。 常用三百八电机,一个千瓦两安培。 低压六百六电机,千瓦一点二安培。 高压三千伏电机,四个千瓦一安培。 高压六千伏电机,八个千瓦一安培。 (2)口诀c 使用时,容量单位为kW,电压单位为kV,电流单位为A,此点一定要注意。 (3)口诀c 中系数0.76是考虑电动机功率因数和效率等计算而得的综合值。功率因数为0.85,效率不0.9,此两个数值比较适用于几十千瓦以上的电动机,对常用的10kW以下电动机则显得大些。这就得使用口诀c计算出的电动机额定电流与电动机铭牌上标注的数值有误差,此误差对10kW以下电动机按额定电流先开关、接触器、导线等影响很小。 (4)运用口诀计算技巧。用口诀计算常用380V电动机额定电流时,先用电动机配接电源电压0.38kV数去除0.76、商数2去乘容量(kW)数。若遇容量较大的6kV 电动机,容量kW数又恰是6kV数的倍数,则容量除以千伏数,商数乘以0.76系数。

水力发电的基本流程及发电系统设备简介

水力发电的基本流程及发电系统设备简介 水力发电的基本流程 1、什么是水电站?水电站枢纽的组成。 水电站是将水能转变为电能的水力装置,它由各种水工建筑物,以及发电、变电、配电等机械、电气设备,组成为一个有机的综合体,互相配合,协同工作,这种水力装置,就是水电站枢纽或者水力枢纽,简称水电站。它由挡水建筑物、泄水建筑物、进水建筑物、引水建筑物、平水建筑物及水电站厂房等水工建筑物共7个部分组成,机电设备则安装在各种建筑物上,主要是在厂房内及其附近。 (1)挡水建筑物。是拦截水流、雍高水位、形成水库,以集中落差、调节流量的建筑物,例如坝和闸。 (2)泄水建筑物。其作用主要是泄放水库容纳不了的来水,防止洪水漫过坝顶,确保水库安全运用,因而是水库中必不可少的建筑物,例如溢流坝、河岸溢洪道、坝下泄水管及隧洞、引水明渠溢水道等。 (3)进水建筑物。使水轮机从河流或水库取得所需的流量,如进水口。 (4)引水建筑物。引水建筑物是引水式或混合式水电站中,用来集中落差(对混合式水电站而言,则只是集中总会落差)和输送流量的工程设施,如明渠、隧洞等。有时水轮机管道也被称为引水建筑物,但严格说来,由于它主要是输送流量的,所以与同时具有集中落差和输送流量双重作用的引水建筑物并不完全相同。 有些水电站具有较长的尾水隧洞及尾水渠道,这也属于引水建筑物。 (5)平水建筑物。其作用是当负荷突然变化引起引水系统中流量和压力剧烈波动时,借以调整供水流量及压力,保证引水建筑物、水轮机管道的安全和水轮发电机组的稳定运行。如引水式或混合式水电站的引水系统中设置的平水建筑物如压力池或高压池。 (6)厂区建筑物。包括厂房、变电站和开关站。厂房是水电站枢纽中最重要的建筑物之一,它不同于一般的工业厂房,而是是水力机械、电气设备等有机地结合在一起的特殊的水工建筑物;变电站是安装升压变压器的场所;而开关站则是安装各种高压配电装置的地方,故也称高压配电场。 (7)枢纽中的其它建筑物。此类建筑物指对于将水能转变为电能这个生产过程没有直接作用的船闸或升船机、筏道、鱼道或鱼闸以及为灌溉或城市供水而设的取水设施等。为了综合利用水资源,它们在整个水电站枢纽中也是不可分割的一部分,对枢纽的布置和运用也有重要的影响。 将水能转变成电能的生产全过程是在整个水电站枢纽中进行的,而不仅仅是在厂房中进行的。 2、水电站的基本类型。 水电站是借助于建筑物和机电设备将水能转变为电能的企业。水电站包括哪些建筑物以及它们之间的相互关系,主要取决于集中水头的方式。所以按集中水头的方式来对水电站进行分类,最能反映出水电站建筑物的组成和布置特点。 (1)按集中水头的方式对水电站进行分类,水电站可分为:坝式、引水式和混合式。 坝式水电站。它的水头是由坝抬高上游水位而形成。分为坝后式和河床式。 坝后式水电站:厂房建在坝的后面,上游水压力由坝承受,不传到厂房上来。对于水头较高的坝式水电站,为了不使厂房承受上游的水压力,一般常采用这种布置方式。这时厂房

常用(电)计算公式资料

电功率的计算公式 电功率的计算公式,用电压乘以电流,这个公式是电功率的定义式,永远正确,适用 于任何情况。 对于纯电阻电路,如电阻丝、灯炮等,可以用“电流的平方乘以电阻”“电压的平方 除以电阻”的公式计算,这是由欧姆定律推导出来的。 但对于非纯电阻电路,如电动机等,只能用“电压乘以电流”这一公式,因为对于电 动机等,欧姆定律并不适用,也就是说,电压和电流不成正比。这是因为电动机在运转时会产生“反电动势”。 例如,外电压为8伏,电阻为2欧,反电动势为6伏,此时的电流是(8-6)/2=1(安),而不是4安。因此功率是8×1=8(瓦)。 另外说一句焦耳定律,就是电阻发热的那个公式,发热功率为“电流平方乘以电阻”,这也是永远正确的。 还拿上面的例子来说,电动机发热的功率是1×1×2=2(瓦),也就是说,电动机的 总功率为8瓦,发热功率为2瓦,剩下的6瓦用于做机械功了。 电工常用计算公式 一、利用低压配电盘上的三根有功电度表,电流互感器、电压表、电流表计算一段时间内的平均有功功率、现在功率、无功功率和功率因数。 (一)利用三相有功电度表和电流互感器计算有功功率 式中 N——测量的电度表圆盘转数 K——电度表常数(即每kW·h转数) t——测量N转时所需的时间S

CT——电流互感器的变交流比 (二)在三相负荷基本平衡和稳定的情况下,利用电压表、电流表的指示数计 算视在功率 (三)求出了有功功率和视在功率就可计算无功功率 (四)根据有功功率和现在功率,可计算出功率因数 例1某单位配电盘上装有一块500转/kW·h电度表,三支100/5电流互感器,电压表指示在400V,电流表指示在22A,在三相电压、电流平衡稳定的情况下,测试电度表圆盘转数是60S转了5圈。求有功功率、现在功率、无功功率、功率因数各为多少? [解]①将数值代入公式(1),得有功功率P=12kW ②将数值代入公式(2);得视在功率S=15kVA ③由有功功率和视在功率代入公式(3),得无功功率Q=8l kVar ④由有功功率和现在功率代入公式(4),得功率因数cosφ= 0.8 二、利用秒表现场测试电度表误差的方法 (一)首先选定圆盘转数,按下式计算出电度表有N转内的标准时间 式中 N——选定转数 P——实际功率kW K——电度表常数(即每kW·h转数) CT——电流互感器交流比 (二)根据实际测试的时间(S)。求电度表误差 式中 T——N转的标准时间s t——用秒表实际测试的N转所需时间(s)

十进制4位加法计数器设计

洛阳理工学院 十 进 制 4 位 加 法 计 数 器 系别:电气工程与自动化系 姓名:李奇杰学号:B10041016

十进制4位加法计数器设计 设计要求: 设计一个十进制4位加法计数器设计 设计目的: 1.掌握EDA设计流程 2.熟练VHDL语法 3.理解层次化设计的内在含义和实现 设计原理 通过数电知识了解到十进制异步加法器的逻辑电路图如下 Q3 则可以通过对JK触发器以及与门的例化连接实现十进制异步加法器的设计 设计内容 JK JK触发器的VHDL文本描述实现: --JK触发器描述 library ieee; use ieee.std_logic_1164.all; entity jk_ff is

port( j,k,clk: in std_logic; q,qn:out std_logic ); end jk_ff; architecture one of jk_ff is signal q_s: std_logic; begin process(j,k,clk) begin if clk'event and clk='0' then if j='0' and k='0' then q_s <= q_s; elsif j='0' and k='1' then q_s <= '0'; elsif j='1' and k='0' then q_s <= '1'; elsif j='1' and k='1' then q_s <= not q_s; end if; end if; end process; q <= q_s; qn <= not q_s; end one; 元件门级电路: 与门VHDL文本描述实现: --与门描述library ieee; use ieee.std_logic_1164.all;

水力发电行业状况

电力是现代化工业生产和生活不可或缺的动力能量,水力发电是电力工业的一个门类。建国50多年来,我国的水电事业有了长足的发展,取得了令人瞩目的成绩。水电在我国的兴起是有其深刻的背景的。 首先,我国有大规模利用水能资源的条件和必要性。我国水能资源丰富,不论是水能资源蕴藏量,还是可能开发的水能资源,在世界各国中均居第一位。但是目前我国水能的利用率仅为13%,水力发电前景广阔。随着我国经济的快速增长,能源消耗总量也大幅度增长,煤炭、石油和天然气这些常规能源的消耗量越来越大,甚至需要依靠进口。预计到2010年我国大约需要进口1亿t石油,并且其进口依存度将达40%左右,甚至更高。在这样的情势下,发展新能源就显得特别重要而紧迫。而水能就是一种可再生的新能源,它取之不尽用之不竭。 其次,发展水电也是环境保护的需要。常规发电方式,煤的燃烧过程中排放出大量的有害物质使大气环境受到严重污染,引发酸雨和“温室效应”等多方面的环境问题。而核能发电有很大的潜在危险性,一旦泄漏造成污染,对环境的破坏作用是不可估量的。水力发电不排放有害的气体、烟尘和灰渣,又没有核辐射污染,是一种清洁的电力生产,具有明显的优势。 再次,水力发电经过一个多世纪的发展,其工程建设技术、水轮发电机组制造技术和输电技术于完善,单机容量也不断增大。并且水力发电成本低廉,运行的可靠性高,故其发展极为迅速。 l 我国水能资源概况 我国河流众多,径流丰沛,落差巨大,蕴藏着丰富的水能资源。据统计,我国河流水能资源蕴藏量 6.76亿 kw,年发电量 5922亿kwh;可能开发水能资源的装机容量3.78亿kw,年发电量9200亿kwh。 由于气候和地形地势等因素的影响,我国的水能资源在不同地区和不同流域的分布很不均匀;此外我国水能资源的突出特点是河流的河道陡峻,落差巨大,发源于“世界屋脊”青藏高原的大河流长江、黄河、雅鲁藏布江、澜沧江、怒江等,天然落差都高达5000 m左右,形成了一系列世界上落差最大的河流,这是其他国家所没有的。充分了解我国水能资源的特点,才能在开发过程中因地制宜,合理地充分地利用水能资源。 2 我国水电开发现状 一个世纪,特别是建国以来,经过几代水电建设者的艰苦努力,中国的水电建设从小到大、从弱到强不断发展壮大。改革开放以来,水电建设更是迅猛发展,工程规模不断扩大。50年代至60年代初,主要修复丰满大坝和电站,续建龙溪河。古田等小型工程,着手开发一些中小型水电(如官厅、淮河、黄坛口、流溪河等电站)。在50年代后期条件逐步成熟后,对一些河流进行了梯级开发,

短路电流计算公式

变压器短路容量-短路电流计算公式-短路冲击电流的计算发布者:admin 发布时间:2009-3-23 阅读:513次供电网络中发生短路时,很大的短路电流会使电器设备过热或受电动力作用而遭到损坏,同时使网络内的电压大大降低,因而破坏了网络内用电设备的正常工作。为了消除或减轻短路的后果,就需要计算短路电流,以正确地选择电器设备、设计继电保护和选用限制短路电流的元件。 二.计算条件 1.假设系统有无限大的容量.用户处短路后,系统母线电压能维持不变.即计算阻抗比系统阻抗要大得多。 具体规定: 对于3~35KV级电网中短路电流的计算,可以认为110KV及以上的系统的容量为无限。只要计算35KV及以下网络元件的阻抗。 2.在计算高压电器中的短路电流时,只需考虑发电机、变压器、电抗器的电抗,而忽略其电阻;对于架空线和电缆,只有当其电阻大于电抗1/3时才需计入电阻,一般也只计电抗而忽略电阻。 3. 短路电流计算公式或计算图表,都以三相短路为计算条件。因为单相短路或二相短路时的短路电流都小于三相短路电流。能够分断三相短路电流的电器,一定能够分断单相短路电流或二相短路电流。 三.简化计算法 即使设定了一些假设条件,要正确计算短路电流还是十分困难,对于一般用户也没有必要。一些设计手册提供了简化计算的图表.省去了计算的麻烦.用起来比较方便.但要是手边一时没有设计手册怎么办?下面介绍一种“口诀式”的计算方法,只要记牢7句口诀,就可掌握短路电流计算方法。 在介绍简化计算法之前必须先了解一些基本概念。 1.主要参数 Sd三相短路容量(MV A)简称短路容量校核开关分断容量 Id三相短路电流周期分量有效值(KA)简称短路电流校核开关分断电流和热稳定 IC三相短路第一周期全电流有效值(KA) 简称冲击电流有效值校核动稳定 ic三相短路第一周期全电流峰值(KA) 简称冲击电流峰值校核动稳定 x电抗(W) 其中系统短路容量Sd和计算点电抗x 是关键. 2.标么值 计算时选定一个基准容量(Sjz)和基准电压(Ujz).将短路计算中各个参数都转化为和该参数的基准量的比值(相对于基准量的比值),称为标么值(这是短路电流计算最特别的地方,目的是要简化计算). (1)基准 基准容量Sjz =100 MV A 基准电压UJZ规定为8级. 230, 115, 37, 10.5, 6.3, 3.15 ,0.4, 0.23 KV 有了以上两项,各级电压的基准电流即可计算出,例: UJZ (KV)3710.56.30.4

水电站的排水系统

水电站的排水系统 (一)生产用水的排水水电厂的生产用水主要是技术供水,主要包括:发电机空气冷却器的冷却水;发电机推力轴承和上、下导轴承油冷却器的冷却水;稀油润滑的水轮机导轴承冷却器的冷却水等。它的特点是排水量较大,设备位置较高,一般都不设置排水泵,而靠自流的形式排至下游河道或尾水管内。 (二)渗漏排水(1)机械设备的漏水。水轮机顶盖与大轴密封的漏水;压力钢管伸缩节、管道法兰、蜗壳、尾水管进入孔盖板等处漏水。(2)下部设备的生产排水。例如,冲洗滤水器的污水,气水分离器及储气罐的排水,水冷空气压缩机的冷却水,空气冷却器壁外的冷凝水和空调用水的排水等,当不能靠自流排至厂外时,归入渗漏排水系统。(3)厂房水工建筑物的渗水,低洼处积水和地面排水。(4)厂房下部生活用水的排水。 (三)检修排水当检查、维修机组或厂房水工建筑物的水下部分时,必须将水轮机蜗壳、尾水管和压力钢管内的积水排除。检修排水的特征是排水量大,高程很低,只能采用排水设备排除。为了加快机组检修,排水时间要短。属临时性工作,通常采用手动控制。4、1、2 排水方式 (一)渗漏水排水方式(1)集水井排水:此种排水方式是将水电站厂房内的渗漏水经排水管、沟汇集到集水井中,用卧式离心泵、深井泵或潜水泵排到厂外。(2)廊道排水:这种排水

方式是把厂内各处的渗漏水通过管道汇集到专门的集水廊道内,再由排水设备排到厂外。 (二)检修排水方式(1)直接排水:此种排水方式是将各台机组的尾水管与水泵吸水管用管道和阀门连接起来。机组检修时,由水泵直接将积水排除。其排水设备亦多采用卧式离心泵。(2)廊道排水:这种排水方式是把各台机组的尾水管经管道与集水廊道连接。机组检修时,先将积水排入集水廊道,再由水泵排到厂外。采用此种方式时,渗漏排水也多采用廊道排水,两者可共用一条集水廊道。

中国水能资源分布、储量及利用情况分析

第一节我国水能资源储量与分布 2005年复查结果表明,我国大陆水力资源理论蕴藏量在1万千瓦及以上的河流共3886条,水力资源理论蕴藏量年电量为60829亿千瓦时,平均功率为69440万千瓦;技术可开发装机容量54164万千瓦,年发电量24740亿千瓦时;经济可开发装机容量40180万千瓦,年发电量17534亿千瓦时https://www.doczj.com/doc/993260994.html,。按技术可开发量计算,至今仅开发利用20%。但从人均占有量来看仅有2400m3,为世界人均水量的25%,居世界第119位,是全球13个贫水国之一。 表 1 我国水能资源概况 数据来源:中国产业研究院 水能资源蕴藏量,是通过河流多年平均流量和全部落差经逐段计算的水能资源理论平均出力。一个国家水能资源蕴藏量之大小,与其国土面积、河川径流量和地形高差有关。我国国土面积小于苏联和加拿大,年径流总量又小于巴西、苏联、加拿大和美国。中国水能蕴藏量之所以能超过这些国家而居世界首位,https://www.doczj.com/doc/993260994.html,,其决定性因素,在于中国地形高差悬殊,河流落差巨大。 全国水能蕴藏量,划分为十个流域(片)统计,如下表所示。 表 2 全国各流域水能蕴藏量

数据来源:中国产业研究院 据统计,中国水能资源可能开发率,即可能开发的水能资源的年发电量与水能资源蕴藏量的年发电量之比,为32%。中国可能的开发水能资源分布如下图所示。 图 1 中国可能的开发水能资源分布 数据来源:中国产业研究院(https://www.doczj.com/doc/993260994.html,) 第二节我国水能资源特点 中国水能资源有三大特点。 一是资源总量十分丰富,但人均资源量并不富裕。以电量计,我国可开发的水电资源约占世界总量的15%,但人均资源量只有世界均值的70%左右,并不富裕。到2050年左右中国达到中等发达国家水平时,如果人均装机从现有的0.252kW加到1kW,总装机约为15亿kW,即使6.76亿kW的水能蕴藏量开发完毕,水电装机也只占总装机的30%-40%。水电的比例虽然不高,但是作为电网不可或缺的调峰、调频和紧急事故簧用的主力电源,水电是保证电力系统安全、优质供电的重要而灵活的工具,因此重要性远高于30%~40%。 二是水电资源分布不均衡,与经济发展的现状极不匹配。从河流看,我国水电资源主要集中在长江、黄河的中上游,雅鲁藏布江的中下游,珠江、澜沧江、怒江和黑龙江上游,这七条江河可开发的大、中型水电资源都在1000万kW以上,总量约占全国大、中型水电资源量的90%。全国大中型水电100万kW以上的河流共18条,水电资源约为4.26亿kW,约占全国大、中型资源量的97%。 按行政区划分,我国水电主要集中在经济发展相对滞后的西部地区。西南、西北11个省、市、自治区,包括云、川、藏、黔、桂、渝、陕、甘、宁、青、新,水

三相电机的电流计算公式

三相电机的电流计算公式 如果一台排风扇是三相电机,它的标签上只写了电压380V,功率是4KW,还有转速,那么怎么计算它的电流呢? 公式是什么呢 A=KW/(1.732*0.38*COS) COS=功率因数 第 2.0.1条电力负荷应根据对供电可靠性的要求及中断供电在政治、经济上所造成损失或影响的程度进行分级,并应符合下列规定: 一、符合下列情况之一时,应为一级负荷: 1.中断供电将造成人身伤亡时。 2.中断供电将在政治、经济上造成重大损失时。例如:重大设备损坏、重大产品报废、用重要原料生产的产品大量报废、国民经济中重点企业的连续生产过程被打乱需要长时间才能恢复等。 3.中断供电将影响有重大政治、经济意义的用电单位的正常工作。例如:重要交通枢纽、重要通信枢纽、重要宾馆、大型体育场馆、经

常用于国际活动的大量人员集中的公共场所等用电单位中的重要电力负荷。 在一级负荷中,当中断供电将发生中毒、爆炸和火灾等情况的负荷,以及特别重要场所的不允许中断供电的负荷,应视为特别重要的负荷。 二、符合下列情况之一时,应为二级负荷: 1.中断供电将在政治、经济上造成较大损失时。例如:主要设备损坏、大量产品报废、连续生产过程被打乱需较长时间才能恢复、重点企业大量减产等。 2.中断供电将影响重要用电单位的正常工作。例如:交通枢纽、通信枢纽等用电单位中的重要电力负荷,以及中断供电将造成大型影剧院、大型商场等较多人员集中的重要的公共场所秩序混乱。 三、不属于一级和二级负荷者应为三级负荷。 第2.0.2条一级负荷的供电电源应符合下列规定: 一、一级负荷应由两个电源供电;当一个电源发生故障时,另一个电源不应同时受到损坏。 二、一级负荷中特别重要的负荷,除由两个电源供电外,尚应增设应急电源,并严禁将其它负荷接入应急供电系统。 第2.0.3条下列电源可作为应急电源:

中国水电站介绍

中国大坝介绍 目录 中国总库容20亿m3以上的水库 装机容量50万kw以上的水电站 中国坝高100m以上的大坝 安康水电站 猫跳河百花水电站白山水电站 八盘峡水电站 碧口水电站 陈村水电站 大伙房水库 丹江口水利枢纽 二滩水电站 枫树坝水电站 凤滩水电站 汾河水库 佛子岭水库 富春江水电站 岗南水库 葛洲坝水利枢纽 龚嘴水电站 官厅水库 古田溪古田水电站猫跳河红岩水电站黄龙滩水电站 湖南镇水电站 流溪河水电站 刘家峡水电站 陆水水电站 以礼河毛家村水电站梅山水库 安康水电站猫跳河百花水电站白山水电站 密云水库 磨子潭水库 南水水电站 欧阳海水库 潘家口水库 青铜峡水利枢纽 泉水水库 群英水库 三门峡水利枢纽 三峡工程 石砭峪水库 石门拱坝 石泉水电站 石头河水库 龙溪河狮子滩水电站松涛水库 桐坑溪水库 乌江渡水电站 下马岭水电站 响洪甸水库 小浪底水利枢纽工程西津水电站 新安江水电站 新丰江水电站 猫跳河修文水电站盐锅峡水电站

岳城水库 柘溪水电站 猫跳河窄巷口水电站 我国幅员辽阔,江河密布,流域面积1000平方公里以上的河流就有1500多条,总长达42万公里。许多巨川大河源远流长,举世闻名。全国多年平均年雨量630毫米,多年平均年迳流量27000亿立方米,理论水力蕴藏量6.8亿千瓦,水力资源得天独厚。 建国50年来,我国在水利水电资源的合理开发、综合利用方面有了很大的发展。1949年新中国成立时,全国水电装机仅有16.3万kw, 年发电量7.1亿kw·h, 到1998年底,我国水电装机容量(不含港、澳、台地区)已达到6506.5万kw,年发电量为2042.9亿kw·h。 近年来,我国水电工程的建设规模不断扩大,建设水平也不断提高。随着葛洲坝、龙羊峡等工程的竣工,二滩、李家峡、天生桥一级、广州抽水蓄能、天荒坪等,特别是三峡工程的开工建设,标志着我国水电工程建设迈上了新台阶,跨入了世界先进行列。 我国已建成或正在建设的装机容量100万kw以上的水电站共有19座,装机总容量4768万kw。其中,三峡工程装机1820万kw是世界上装机容量最大的水电站。广州抽水蓄能电站装机240万kw,是目前世界上最大的抽水蓄能电站。 随着工程规模的扩大,我国水电工程建设的科研、设计、施工及工程建设管理水平都有了相当大的进步。建成了世界最高的普定碾压混凝土非对称双曲拱坝(坝高75米),即将建成世界第四高的二滩双曲拱坝(坝高240米)和世界第二高的天生桥一级混凝土面板堆石坝(坝高178米),建成了世界上海拔最高的羊卓雍湖抽水蓄能电站。水利发电机组的单机容量已经达到55万kw,三峡工程的单机容量将达到70万kw。 截止到l997年底,我国共有水库8.5万座,居世界第一位。全国己建、在建总库容20亿m3以上的大型水库有47座,坝高l00m以上的大坝有53座,装机容量50万kw以上的水电站有37座。5年来,城乡供水事业取得长足发展,年供水能力达到5200多亿m3,解决了4000万人的饮水困难;水电建设发展

实验十进制加减法计数器

实验1 十进制加减法计数器 实验地点:电子楼218 实验时间:2012年10月19日指导老师:黄秋萍、陈虞苏 实验要求:设计十进制加减法计数器,保留测试程序、设计程序、仿真结果 1.设计程序: module count(EN,CLK,DOUT,F,RST); input EN,CLK,F,RST; output [3:0]DOUT; reg [3:0]DOUT; always@(posedge CLK) begin :abc if(EN) if(!RST) if(F) begin :a DOUT=DOUT+1; if(DOUT==10) DOUT=0; end //END A else begin :b DOUT=DOUT-1; if(DOUT==15) DOUT=9; end else DOUT=0; else DOUT=DOUT; end endmodule 2.测试程序 `timescale 10ns/1ns module test_count; wire [3:0] DOUT; reg EN,F,RST,CLK; count M(EN,CLK,DOUT,F,RST); initial begin :ABC CLK=0; EN=0;

RST=1; F=1; #100 EN=1; #200 RST=0; #1500 F=0; #3000 $stop; end always #50 CLK=~CLK; initial $monitor("EN=%b,F=%b,RST=%b,DOUT%D",EN,F,RST,DOUT); endmodule 3.测试结果 # EN=0,F=1,RST=1,DOUT x # EN=1,F=1,RST=1,DOUT x # EN=1,F=1,RST=1,DOUT 0 # EN=1,F=1,RST=0,DOUT 0 # EN=1,F=1,RST=0,DOUT 1 # EN=1,F=1,RST=0,DOUT 2 # EN=1,F=1,RST=0,DOUT 3 # EN=1,F=1,RST=0,DOUT 4 # EN=1,F=1,RST=0,DOUT 5 # EN=1,F=1,RST=0,DOUT 6 # EN=1,F=1,RST=0,DOUT 7 # EN=1,F=1,RST=0,DOUT 8 # EN=1,F=1,RST=0,DOUT 9 # EN=1,F=1,RST=0,DOUT 0 # EN=1,F=1,RST=0,DOUT 1 # EN=1,F=1,RST=0,DOUT 2 # EN=1,F=1,RST=0,DOUT 3 # EN=1,F=1,RST=0,DOUT 4 # EN=1,F=1,RST=0,DOUT 5 # EN=1,F=0,RST=0,DOUT 5 # EN=1,F=0,RST=0,DOUT 4 # EN=1,F=0,RST=0,DOUT 3 # EN=1,F=0,RST=0,DOUT 2 # EN=1,F=0,RST=0,DOUT 1 # EN=1,F=0,RST=0,DOUT 0 # EN=1,F=0,RST=0,DOUT 9 # EN=1,F=0,RST=0,DOUT 8 # EN=1,F=0,RST=0,DOUT 7 # EN=1,F=0,RST=0,DOUT 6 # EN=1,F=0,RST=0,DOUT 5

10进制加法计数器课程设计

西北师范大学知行学院 数字电子实践论文 课题:74ls161组成的十进制加法计数器 (置数法) 班级:14电本 学号:14040101114 姓名:于能海

指导老师:崔用明 目录 第1章前言 (1) 1.1 摘要 (1) 1.2 设计目的 (2) 1.3 设计内容及要求 (2) 第2章设计方案 (3) ....................................................................................................................... 错误!未定义书签。 2.1主要芯片功能介绍 (3) 2.2.1 四位二进制计数器74161介绍 (3) ............................................................................................................... 错误!未定义书签。 2.2 工作原理 (4) 第3章硬件设计 (4) 3.1 单元电路设计 (4) 3.2 总硬件电路图 (5) 第4章仿真与试验 (6) 4.1 仿真结果 (6) 4.2 调试中遇到的问题 (7) 第5章结论和体会 (8)

第1章前言 1.1 摘要在数字电路技术的课程中,计数器的功能是记忆脉冲的个数,它是数字系统中应用最广泛的基本时序逻辑构件。计数器在微型计算机系统中的主要作用就是为CPU和I/O设备提供实时时钟,以实现定时中断、定时检测、定时扫描、定时显示等定时控制,或者对外部事件进行计数。一般的微机系统和微机应用系统中均配置了定时器/计数器电路,它既可当作计数器作用,又可当作定时器使用,其基本的工作原理就是"减1"计数。计数器:CLK输入脉冲是一个非周期事件计数脉冲,当计算单元为零时,OUT输出一个脉冲信号,以示计数完毕。 本十进制加法计数器是基于74161芯片而设计的, 该十进制加法计数器设计理念是用于工厂流水线上产品计数,自动计数,方便简单。 关键词:74ls161计数器 Introduction In the course of digital circuit technology, the counter memory function is the number of pulses, it is a digital system, the most widely used basic sequential logic components. The main role of the counter in the micro-computer system is to provide real-time clock for the CPU and I / O devices to achieve the timer interrupt, timing detection, scheduled scanning, the timing display timing control, or to count external events. General computer systems and computer application systems are equipped with a timer / counter circuit, it can as a counter action, but also as a timer, the basic working principle is "minus 1" count. Counter: CLK input pulse is a non-periodic event count pulses to zero when calculating unit, OUT outputs a pulse signal, to show the count is completed. The decimal addition counter is designed based on the 74161 chip, the low potential sensor senses when to rely on external signals, sensors in an object within the sensing range, otherwise it is a high potential. Within the sensing range of the sensor when an object is moved out of date, sensor potential from high to low and then high, appears on the edge. Counter is automatically incremented and displayed on a digital control. The decimal addition counters have two seven-segment LED. It can count from 0 to 99 objects, and easy to expand. The design concept of decimal addition counter is used to count on a factory assembly line products, automatic counting, convenient and simple. Keywords:74ls161counter

十进制加法计数器

在数字系统中,常需要对时钟脉冲的个数进行计数,以实现测量、运算和控制等功能。具有计数功能的电路,称为计数器。 计数器是一种非常典型、应用很广的时序电路,计数器不仅能统计输入时钟脉冲的个数,还能用于分频、定时、产生节拍脉冲等。计数器的类型很多,按计数器时钟脉冲引入方式和触发器翻转时序的异同,可分为同步计数器和异步计数器;按计数体制的异同,可分为二进制计数器、二—十进制计数器和任意进制计数器;按计数器中的变化规律的异同,可分为加法计数器、减法计数器和可逆计数器。 二进制加法计数器运用起来比较简洁方便,结构图和原理图也比其它进制的简单明了,但二进制表示一个数时,位数一般比较长。十进制是我们日常生活中经常用到的,不用转换,所以设计十进制加法计数器比设计二进制加法计数器应用广泛,加法器是以数据的累加过程,日常生活中,数据的累加普遍存在,有时候需要一种计数器对累加过程进行运算处理,所以设计十进制加法计数器应广大人们生活的需要,对我们的生活有一个积极地促进作用,解决了生活中许多问题,所以会设计十进制加法计数器使我们对数字电路的理论和实践知识的充分结合,也使我们对电子技术基础有了深刻的了解,而且增强了我们对电子技术基础产生了浓厚的兴趣,这次课程设计使我受益匪浅!

一、设计题目 (3) 二、设计目的 (3) 三、设计依据 (3) 四、设计内容 (3) 五、设计思路 (4) 六、设计方案 (7) 七、改进意见 (10) 八、设计总结 (11) 九、参考文献 (12)

一、设计题目 十进制加法计数器 二、设计目的 1.学习电子电路设计任务。 2.通过课程设计培养学生自学能力和分析问题、解决问题的能力。 3.通过设计使学生具有一定的计算能力、制图能力以及查阅手册、使用国家技术标准的能力和一定的文字表达能力。 三、设计依据 1.用JK触发器组成。 2.实现同步或异步加法计数。 四、设计内容 1.复习课本,收集查阅资料,选定设计方案; 2.绘制电气框图、电气原理图; 3.对主要元器件进行计算选择,列写元器件的规格及明细表; 4.设计总结及改进意见; 5.参考资料; 6.编写说明书。

水电站整个系统(水电站新人请进)

水电站整个系统(水电站新人请进) 1、什么是水电站?水电站枢纽的组成。 水电站是将水能转变为电能的水力装置,它由各种水工建筑物,以及发电、变电、配电等机械、电气设备,组成为一个有机的综合体,互相配合,协同工作,这种水力装置,就是水电站枢纽或者水力枢纽,简称水电站。它由挡水建筑物、泄水建筑物、进水建筑物、引水建筑物、平水建筑物及水电站厂房等水工建筑物共7个部分组成,机电设备则安装在各种建筑物上,主要是在厂房内及其附近。 (1)挡水建筑物。是拦截水流、雍高水位、形成水库,以集中落差、调节流量的建筑物,例如坝和闸。 (2)泄水建筑物。其作用主要是泄放水库容纳不了的来水,防止洪水漫过坝顶,确保水库安全运用,因而是水库中必不可少的建筑物,例如溢流坝、河岸溢洪道、坝下泄水管及隧洞、引水明渠溢水道等。 (3)进水建筑物。使水轮机从河流或水库取得所需的流量,如进水口。

(4)引水建筑物。引水建筑物是引水式或混合式水电站中,用来集中落差(对混合式水电站而言,则只是集中总会落差)和输送流量的工程设施,如明渠、隧洞等。有时水轮机管道也被称为引水建筑物,但严格说来,由于它主要是输送流量的,所以与同时具有集中落差和输送流量双重作用的引水建筑物并不完全相同。 有些水电站具有较长的尾水隧洞及尾水渠道,这也属于引水建筑物。 (5)平水建筑物。其作用是当负荷突然变化引起引水系统中流量和压力剧烈波动时,借以调整供水流量及压力,保证引水建筑物、水轮机管道的安全和水轮发电机组的稳定运行。如引水式或混合式水电站的引水系统中设置的平水建筑物如压力池或高压池。 (6)厂区建筑物。包括厂房、变电站和开关站。厂房是水电站枢纽中最重要的建筑物之一,它不同于一般的工业厂房,而是是水力机械、电气设备等有机地结合在一起的特殊的水工建筑物;变电站是安装升压变压器的场所;而开关站则是安装各种高压配电装置的地方,故也称高压配电场。 (7)枢纽中的其它建筑物。此类建筑物指对于将水能转变为电能这个生产过程没有直接作用的船闸或升船机、筏道、鱼道或鱼闸以及为灌溉或城市供水而设的取水设施等。为了综合利用水资源,它们在整

常用(电)计算公式

盛年不重来,一日难再晨。及时宜自勉,岁月不待人。 电功率的计算公式 电功率的计算公式,用电压乘以电流,这个公式是电功率的定义式,永远正确,适用于任何情况。 对于纯电阻电路,如电阻丝、灯炮等,可以用“电流的平方乘以电阻”“电压的平方除以电阻”的公式计算,这是由欧姆定律推导出来的。 但对于非纯电阻电路,如电动机等,只能用“电压乘以电流”这一公式,因为对于电动机等,欧姆定律并不适用,也就是说,电压和电流不成正比。这是因为电动机在运转时会产生“反电动势”。 例如,外电压为8伏,电阻为2欧,反电动势为6伏,此时的电流是(8-6)/2=1(安),而不是4安。因此功率是8×1=8(瓦)。 另外说一句焦耳定律,就是电阻发热的那个公式,发热功率为“电流平方乘以电阻”,这也是永远正确的。 还拿上面的例子来说,电动机发热的功率是1×1×2=2(瓦),也就是说,电动机的总功率为8瓦,发热功率为2瓦,剩下的6瓦用于做机械功了。 电工常用计算公式 一、利用低压配电盘上的三根有功电度表,电流互感器、电压表、电流表计算一段时间内的平均有功功率、现在功率、无功功率和功率因数。 (一)利用三相有功电度表和电流互感器计算有功功率 式中 N——测量的电度表圆盘转数 K——电度表常数(即每kW·h转数) t——测量N转时所需的时间S CT——电流互感器的变交流比

(二)在三相负荷基本平衡和稳定的情况下,利用电压表、电流表的指示数计算视在功率 (三)求出了有功功率和视在功率就可计算无功功率 (四)根据有功功率和现在功率,可计算出功率因数 例1某单位配电盘上装有一块500转/kW·h电度表,三支100/5电流互感器,电压表指示在400V,电流表指示在22A,在三相电压、电流平衡稳定的情况下,测试电度表圆盘转数是60S转了5圈。求有功功率、现在功率、无功功率、功率因数各为多少? [解]①将数值代入公式(1),得有功功率P=12kW ②将数值代入公式(2);得视在功率S=15kVA ③由有功功率和视在功率代入公式(3),得无功功率Q=8l kVar ④由有功功率和现在功率代入公式(4),得功率因数cosφ= 0.8 二、利用秒表现场测试电度表误差的方法 (一)首先选定圆盘转数,按下式计算出电度表有N转内的标准时间 式中 N——选定转数 P——实际功率kW K——电度表常数(即每kW·h转数) CT——电流互感器交流比 (二)根据实际测试的时间(S)。求电度表误差 式中 T——N转的标准时间s t——用秒表实际测试的N转所需时间(s)

相关主题
文本预览
相关文档 最新文档