十翻二运算电路设计24(精)
- 格式:doc
- 大小:2.32 MB
- 文档页数:21
东北大学秦皇岛分校计算机与通信工程学院电子线路课程设计压力测量数显电路专业名称班级学号学生姓名指导教师设计时间课程设计任务书专业学号学生姓名(签名):设计题目:压力测量数显电路一、设计实验条件Multisim实验室二、设计任务及要求1.熟悉译码器、555定时器、计数器和七位数码管的功能,并熟练应用;2.掌握555定时器产生脉冲的原理和方法;3.选择合适的器件来构成脉冲信号发生器;4.学会利用计数器构成不同进制的计数器;5.设计压力测量数显电路。
三、设计报告的内容1.设计题目与设计任务(设计任务书)2.前言(绪论)(设计的目的、意义等)3.设计主体(各部分设计内容、分析、结论等)4.结束语(设计的收获、体会等)5.参考资料四、设计时间与安排1、设计时间:2周2、设计时间安排:熟悉实验设备、收集资料:2 天设计图纸、实验、计算、程序编写调试: 5天编写课程设计报告:2 天答辩:1 天1、绪论随着计算机科学与技术迅猛地发展,用数字电路进行信号处理的优势也更加的突出。
数字电路具有精度高、稳定性好、抗干扰能力强、程序软件控制等一系列优点。
为了充分发挥数字电路在信号处理上的强大功能,我们可以先将拟信号按比例转换成数字信号,然后送到数字电路进行处理,最后将处理结果根据需要转换成相应的模拟信号输出。
自20世纪七十年代开始,这种用数字电路处理模拟信号的所谓“数字化”浪潮已经席卷了电子技术几乎左右的领域。
现在,学校给我提供了这次机会,我将利用所学的数电知识,仿真一个压力测试数电电路,以求更好掌握数电知识。
此次课程设计的目的是学会利用555定时器产生脉冲信号,熟练应用计数器、译码器和数码器构成压力测试数显电路。
通过此次的课程设计掌握数字信号的基本元件和知识,以实践的方式加深知识的掌握程度,扩展我们的思维。
2、系统设计(一)设计结构1、由555脉冲信号发生器产生脉冲信号;2、100进制计数器有两个74LS160计数器串联构成;3、显示器是由两个译码器和两个数码管构成。
十翻二电路设计范文1.设计原理十翻二电路的设计原理基于十进制数字和二进制数字之间的转换。
在十进制系统中,每一位的权值是以10为底的幂,而在二进制系统中,每一位的权值是以2为底的幂。
通过将输入的十进制数字进行十进制到二进制的转换,可以得到对应的二进制数字。
2.工作方式十翻二电路通常由多个子电路组成,每个子电路负责将输入的十进制数字中的一位转换为对应的二进制位。
一般情况下,每个子电路都有两个输入信号和一个输出信号。
其中,一个输入信号是来自于上一位的进位,另一个输入信号是来自于当前位的数字。
输出信号是当前位的二进制表示。
在每一位的转换过程中,需要使用逻辑门电路来实现。
常见的逻辑门电路包括与门、或门、非门以及异或门。
这些逻辑门电路可以根据输入信号的状态生成相应的输出信号。
具体而言,对于每一位的转换,可以按照以下步骤进行:步骤1:将输入的十进制数字分解为个位、十位、百位等各个位数。
步骤2:将每一位数输入到对应的子电路中。
步骤3:每个子电路根据输入的数字和进位信号生成对应的输出信号。
步骤4:将所有子电路的输出信号按照从低位到高位的顺序合并,得到最终的二进制表示。
3.实际应用此外,十翻二电路还可以用于数字显示器和计数器等设备中。
在数码显示器中,经过十翻二电路转换后的二进制数字可以直接用于驱动显示器的数码管或LED灯。
在计数器中,十翻二电路可以将输入的十进制计数信号转换为对应的二进制计数信号。
总结:十翻二电路是一种将十进制数字转换为二进制数字的常见电子电路设计。
它通过将输入的十进制数字进行十进制到二进制的转换,获得对应的二进制数字。
这种电路通常由多个子电路组成,每个子电路负责将输入的十进制数字中的一位转换为对应的二进制位。
十翻二电路在计算机、数字显示器以及计数器等领域有广泛的应用。
通过实现该电路,可以实现十进制至二进制的快速转换,提高数字信号处理的效率。
目录一、内容摘要 (2)二、技术指标 (2)1、系统结构要求 (2)2、电气指标 (3)3、设计条件 (3)三、主要芯片 (4)1、排阻 (4)2、发光二极管 (4)3、数码管 (5)4、74283 (7)5、4511译码器 (8)6、八位拨码开关 (9)四、方案设计 (9)五、完整电路设计 (15)1.布线 (15)2.布局 (15)3、完整电路及简要说明 (16)4、单元电路设计 (16)5、电路设计图: (17)6、自己完成的实物图: (18)六、安装与调试 (18)(一)使用的主要仪器仪表: (18)(二)调试电路的方法和技巧: (18)(三)测试数据 (19)(四)调试中出现的故障、原因及排除方法 (19)七、电路特点及方案优缺点 (19)八、元件清单 (20)九、心得体会 (20)十、参考文献 (21)十翻二运算电路设计一、内容摘要关键字:十翻二运算、全加器、BCD码人们在向计算机输送数据时,首先把十进制数变成二—十进制数码即BCD 码,运算器在接受到二一十进制数码后,必须要将它转换成二进制数才能参加运算。
这种把十进制数转换成二进制数的过程称为“十翻二”运算。
本报告主要介绍十翻二电路的设计思路,实现方法与调试过程。
包括系统设计,方案比较,系统框图,单元模块分析与设计,完整电路图,电路工作原理,运行说明,调试方法与技巧,故障分析与解决方法,以及对电路的改进等。
二、技术指标1、系统结构要求系统结构方框图所示2、电气指标1.具有十翻二功能。
2.能完成三位数十进制数到二进制数的转换。
3.能自动显示十进制数及二进制数。
4.具有手动和自动清零功能。
3、设计条件1.电源条件:直流稳压电源提供+5V电压。
2.实验仪器和材料:名称备注仪器实验室配备万用表一个面包板1块剪刀一把镊子一把各色导线若干三、主要芯片1、排阻排阻,就是若干个参数完全相同的电阻,它们的一个引脚都连到一起,作为公共引脚,其余引脚正常引出。
《电子设计自动化》课程设计题目: 2位十进制四则运算器电路摘要本次设计的目的是通过QuartusII软件实现输入两个2位十进制数(0~99),输出它们的四则运算(加减乘除)结果(发光二极管显示运算模式;调用LPM_MULT、LPM_CONSTANT及LPM_DIVIDE模块)。
实现的方法是利用四则运算的规律进行初步设计,然后进行调整和修改。
最终结果要求:随机的输入两个数,经过加法、减法、乘法和除法的运算,可以得到正确的运算结果。
主要分为4大部分:一、2位十进制数模块;二、加减乘除四则运算四个小模块;三、加减乘除四则运算的选择模块;四、处理输出结果的模块。
目录1 系统设计 (4)2 单元电路设计 (5)3 软件设计 (5)4 系统测试 (14)5 结论 (14)6 参考文献 (14)1、系统设计一、设计要求:输入两个2位十进制数(0~99),输出它们的四则运算(加减乘除)结果;发光二极管显示运算模式;可调用LPM_MULT及LPM_DIVIDE模块。
二、系统设计方案:(1)系统设计思路要完成2位十进制四则运算器电路,首先,需要生成2个两位的十进制数,其次,需要加减乘除四个运算,然后,四种运算的选择,最后,对输出结果的处理。
2个2位十进制模块:法一,用两个100进制计数器构成;法二,用4个10进制计数器构成。
因为add1模块(后面详细介绍)只有两个输入口,所以选择法一比较方便。
加减乘除四则运算:①加法:写一个加法程序,制成模块,再分别取出它的各位、十位、百位。
②减法:写一个减法程序,用调用LPM的方法制成一个模块,在分别取出它的各位、十位和符号位。
③乘法:直接调用内部LPM,制成乘法模块。
④除法:直接调用内部LPM,制成除法模块。
加减乘除运算的选择:写一个4路选择器,分别选择加减乘除。
对输出结果的处理:写一个程序,对应不同选择下的不同输出,注意位数(加法:输出在0~198之间,需3个LED灯来显示;减法:输出在0~99之间,需3个LED灯(其中一个符号位);乘法:输出在0~9801之间,需4个LED灯;除法:输出在0~99之间,需2个LED灯。
十进制和二进制相互转化程序设计书需求分析随着技术的不断提高,进制转换向着简单化,规模化发展,而对于只能识别二进制0和1码的计算机来说,如何翻译成人类可以认识和编译的语言,和安全加密等给信息管理有关的信息随之增加。
在这种情况下单靠人工来处理这些信息不但显得大不从心,而且极容易出错。
因此,需要开发二进制与十进制互换系统,该系统可以实现由计算机代替人工执行一系列复杂而繁琐的操作,使得办公人员可以轻松快捷的完成进制转换的任务。
总结系统需求分为大体分为5个模块:首先第一个需要数据的信息输入,即输入数据的基本信息包括输入的进制选项,所输入的二进制位数,所输入的二进制数,所输入的十进制数和判断是否全1或全0五个模块。
第二个需求是判断数据进制选项信息,在信息和科技不断进步的今天,数据及时准确的更新成了任何一个系统的首要任务,本系统应时代所需设计了数制信息功能,包括对包括数据的进制,二进制数据的位数,十进制数据,进行进制转换计算。
第三个需求是所输入的二进制数据,数据的运行使用主要是解决向十进制转换第四个需求是所输入的十进制数据,数据运行使用主要是解决向二进制转换。
第五个需求是打印退出,在对系统进行操作后,退出系统。
1.1 数据需求分析本系统的主要数据进制转换的实现。
转换包括:二进制数向十进制数转换,十进制数向二进制数转换,判断是否为全0或全1,是否继续执行等。
1.2功能需求分析本程序功能为二进制和十进制的相互转换,二进制转十进制主要根据进制转换的根本方法,分别乘以2的次方得到十进制数;十进制转二进制主要根据“除2取余法”得到二进制数。
另外,本程序简单易懂,操作简便,给出引导说明,以及还出错处理,只需按照提示输入即可用。
本系统主要实现对二进制与十进制之间互换,需要实现以下几个方面的功能:(1)二进制转十进制:选择二进制向十进制转换,选择二进制位数,输入二进制数,进行数制转换,输出结果,判断是否继续。
(2)十进制转二进制:选择十进制向二进制转换,输入十进制数,进行数制转换,输出结果,判断是否继续。
学号09700117数字电路与逻辑设计设计说明书题目十翻二运算电路设计起止日期:2011 年 6 月23日至2011 年7 月 1 日学生姓名李龙川班级09电信1班成绩指导教师(签字)电子与信息工程系2011年6 月30 日目录一、设计依据 (1)二、设计要求 (1)1.功能 (1)2.器件 (2)三、主要集成电路芯片 (2)1.74LS147 (2)2.74LS194 (3)四、主要内容 (4)1.总体概括 (4)五、十翻二运算电路设计图 (6)六、设计总结与体会 (6)十翻二运算电路设计一、设计依据在向计算机输送数据时,首先把十进制数变成二—十进制数码即 BCD 码,运算器在接受到二一十进制数码后,必须要将它转换成二进制数才能参加运算。
这种把十进制数转换成二进制数的过程称为“十翻二”运算。
例如:125→【0001,0010,0101】→【111101】。
系统结构方框如图1.1所示:图1.1 系统结构框图二、设计要求1.功能(1) 具有十翻二功能。
(2) 能完成三位数十进制数到二进制数的转换。
(3) 能自动显示十进制数及二进制数。
(4) 移位寄存器选用八位移位寄存器。
(5)具有手动和自动清零功能。
2.器件集成电路TTL 10线-4线优先编码器(74LS147)、TTL 四位双向通用移位寄存器(74LS194)、TTL 六反相器(74LS04)、TTL 2输入端四与门(74LS08)、TTL 2输入端四或门(74LS32)、TTL 13输入端与非门(74LS133)等。
三、主要集成电路芯片1.74LS14774LS147优先编码器有9个输入端和4个输出端。
某个输入端为0,代表输入某一个十进制数。
当9个输入端全为1时,代表输入的是十进制数0。
4个输出端反映输入十进制数的BCD码编码输出。
74LS147优先编码器的输入端和输出端都是低电平有效,即当某一个输入端低电平0时,4个输出端就以低电平0的输出其对应的8421 BCD编码。
目录第一章技术指标 (2)1.1 系统功能要求 (2)1.2 系统结构要求 (2)1.3 电气指标 (2)1.4 设计条件 (2)第二章整体方案设计 (3)2.1 整体方案 (3)2.2 整体原理及方框图 (3)第三章单元电路设计 (4)3.1 频率控制电路设计 (4)3.2 计数器设计(256) (5)3.3 存储器及正弦函数表 (6)3.4 D/A(II)正弦波产生电路 (7)3.5幅度控制 (8)3.6阻抗控制 (9)3.7整体电路图 (9)3.7 整体元件清单(理论值) (9)第四章测设与调整(数据) (11)4.1 频率控制电路调测 (11)4.2 地址计数器电路调测如下: (11)4.3 存贮器电路调测(R=1千欧) (11)4.4数字幅度电路调测 (11)4.5 波形扩展 (11)4.6 整体指标测试 (12)第五章设计小结 (13)5.1电子电路课程设计的意义 (13)5.2 设计任务完成情况 (13)5.3 问题及改进 (13)5.4 心得体会 (14)附录 (16)参考文献 (16)主要芯片介绍: (16)第一章技术指标1.1 系统功能要求人们在向计算机输送数据时,计算机首先要把十进制数转换成二-十进制码,即BCD码,运算器将接受到的二-十进制码转换成二进制数后才能进行运算。
这种把十进制数转换成二进制数的过程称为“十翻二”运算。
1.2 系统结构要求十翻二运算电路的结构要求如图(1)所示,其中十进制数输入采用并行BCD 码输入,由七段译码器转换成十进制数显示,同时经由四位超前进位并行加法器组成的电路转换成二进制数,用发光二极管显示。
系统复位转换启动十进制数输入图(1)1.3 电气指标1 具有十翻二功能。
2 实现三位十进制数到二进制数的转换。
3 能自动显示十进制数及对应的二进制数。
4 具有手动清零和手动转换功能。
5 十进制数输入采用并行输入。
(选做)十进制数输入采用串行输入。
1.4 设计条件电源条件:+5V,-5V•可供选择器件如下:•型号名称及功能数量•74283 四位超前进位并行加法器 3•4511 七段译码器3••7432 2四输入端或门 1•共阴极数码管 3•74174 复位六D触发器 2•拨码开关 2•100Ω电阻13•LED 发光二极管10• 1k 排阻 2导线若干第二章 整体方案设计2.1 整体方案事先对十进制数进行BCD 码置数,把置好的数存入锁存器中,触发启动后,经由锁存器分两路转发,一路转发给由七段译码器组成的静态显示电路,显示输入的十进制数;另一路转发给由四位超前进位加法器组成的十进制转换二进制数的电路,进行二进制显示。
设计一个十翻二运算电路内容摘要:十翻二电路可以用简单的一些芯片组合而成,也可以用可编程逻辑器件来实现,本次实践用quartusⅡ 5.0来编程,FPGA采用EPF10K10LC84-4,通过本次实践学会基本的实验技能,提高运用理论知识解决实际问题的能力。
关键词:十翻二运算电路quartu sⅡ EPF10K10LC84-4一、设计要求设计一个十翻二运算电路,它能完成下列工作:1)能完成三位十进制数到二进制数的转换。
2)能自动显示十进制数(七段数码管)和二进制数(发光二级管)。
3)具有手动清零功能。
三、确定电路组成方案要把三位十进制数转换为二进制数,运算过程可以这样,比如输入是128,则128=1*100+2*10+8 所以要包括乘100,乘10和相加的模块,由于还要显示输入三位十进制数,因此还要有一个数码管显示模块,电路方框图如下:百位二进制十位个位四、各个模块的VHDL描写1)、乘10模块的VHDLlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cheng10 isport (ten:in std_logic_vector(3 downto 0);tenout:out std_logic_vector(9 downto 0));end;architecture one of cheng10 issignal a:std_logic_vector(9 downto 0);signal b:std_logic_vector(9 downto 0);beginprocess(ten)begina(6 downto 3)<=ten;b(4 downto 1)<=ten;tenout<=a+b;end process;end;2)、乘100模块的VHDLlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cheng100 isport (hum:in std_logic_vector(3 downto 0);humout:out std_logic_vector(9 downto 0)); end;architecture one of cheng100 issignal a:std_logic_vector(9 downto 0);signal b:std_logic_vector(9 downto 0);signal c:std_logic_vector(9 downto 0);signal d:std_logic_vector(9 downto 0);signal e:std_logic_vector(9 downto 0);signal f:std_logic_vector(9 downto 0);beginprocess(hum)begina(6 downto 3)<=hum;b(4 downto 1)<=hum;c<=a+b;d(9 downto 3)<=c(6 downto 0);e(7 downto 1)<=c(6 downto 0);f<=d+e;humout<=f;end process;end;3)、相加模块的VHDLlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xiangjia isport (ena:in std_logic;humout:in std_logic_vector(9 downto 0);tenout:in std_logic_vector(9 downto 0);oneout:in std_logic_vector(3 downto 0);shuchu:out std_logic_vector(9 downto 0)); end;architecture one of xiangjia isbeginprocess(humout,tenout,oneout)beginif ena='0' then shuchu<="0000000000";elseshuchu<=humout+tenout+oneout;end if;end process;end;4)、数码管显示模块的VHDLLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;entity shumaxianshi isport(clk:in std_logic;ena:in std_logic;shuru:in std_logic_vector(11 downto 0);sg:out std_logic_vector(6 downto 0);yima:out std_logic_vector(2 downto 0));end;architecture one of shumaxianshi issignal cnt4:std_logic_vector(1 downto 0);signal a:std_logic_vector(3 downto 0);beginp1:process(cnt4,ena)beginif ena='0' then a<="0000";elsecase cnt4 iswhen "00" => yima <="000";a<=shuru(3 downto 0); when "01" => yima <="001";a<=shuru(7 downto 4);when "10" => yima <="010";a<=shuru(11 downto 8);when "11" => yima <="011";a<=“0000”;when others => null;end case;end if;end process p1;p2:process(clk)beginif clk'event and clk='1' then cnt4 <=cnt4+1;end if;end process p2;p3:process(a)begincase a iswhen "0000" => sg <="0111111";when "0001" => sg <="0000110"; when "0010" => sg <="1011011";when "0011" => sg <="1001111"; when "0100" => sg <="1100110";when "0101" => sg <="1101101"; when "0110" => sg <="1111101";when "0111" => sg <="0000111"; when "1000" => sg <="1111111";when "1001" => sg <="1101111"; when others => null;end case;end process p3;end;5)、顶层文件的原理图输入6)、电脑仿真结果其中hum , ten, one是采用十进制显示,shuchu是采用十六进制显示。
第二篇数字电子技术应用课题设计课题一十翻二运算电路设计一、简述人们在向计算机输送数据时,首先把十进制数变成二—十进制数码即BCD 码,运算器在接受到二一十进制数码后,必须要将它转换成二进制数才能参加运算。
这种把十进制数转换成二进制数的过程称为“十翻二”运算。
例如:125 一[0001,0010,0101]一[1111101] 十翻二运算的过程可以由下式看出:125=[(0x10+1)x10+2]x10+5 这种方法归纳起来,就是重复这样的运算:Nx10+S—N其中N为现有数(高位数),S 为新输入数(较N低一位的数),N 的初始值取“0”,二一十进制数码是由高位开始逐位输入的,每输入一位数进行一次这样的运算,直至最低位输入,算完为止。
十翻二运算的实现方法从运算式N xl0+S 来看可分二步,如方法I:第一步N乘5,即N x5=Nx 4+N第二步乘2再加S,即(5N) x2+S=10N+S方法Ⅱ:第一步N乘10,即N x 10=Nx 2+Nx 8第二步加S,即10N+S因为二进制数乘“2”,乘“4”,乘“8”,只要在二进制数后面补上一个“0”、两个“0”或三个“0”就可以了,所以利用这个性质可以有多种方法实现乘“10"运算。
图2.1.1 十翻二运算电路框图在实现运算的两个步骤中,都有加法运算。
因此就要二次用到加法器(全加器)。
实现的电路可以用一个全加器分二次来完成,也可以用两个全加器一次完成。
故实现十翻二运算的电路也各有不同。
十翻二运算电路的框图如图2.1.1 所示。
二、设计任务和要求用中小规模集成电路设计十翻二运算逻辑电路,具体要求如下:1.具有十翻二功能。
2.能完成三位数十进制数到二进制数的转换。
3.能自动显示十进制数及二进制数。
4.移位寄存器选用八位移位寄存器。
5.具有手动和自动清零功能。
三、可选用器材1.NET 系列数字电子技术实验系统2.直流稳压电源3.集成电路:74LS74、74LSl47、74LSl64、74LSl83、74LSl94、及门电路4.显示器C L0025.电阻、电容6.按键及开关四、设计方案提示根据课题的任务和要求,我们先设计十翻二运算电路。
目录一、内容摘要 (2)二、技术指标 (2)1、系统结构要求 (2)2、电气指标 (3)3、设计条件 (3)三、主要芯片 (4)1、排阻 (4)2、发光二极管 (4)3、数码管 (5)4、74283 (7)5、4511译码器 (8)6、八位拨码开关 (9)四、方案设计 (9)五、完整电路设计 (15)1.布线 (15)2.布局 (15)3、完整电路及简要说明 (16)4、单元电路设计 (16)5、电路设计图: (17)6、自己完成的实物图: (18)六、安装与调试 (18)(一)使用的主要仪器仪表: (18)(二)调试电路的方法和技巧: (18)(三)测试数据 (19)(四)调试中出现的故障、原因及排除方法 (19)七、电路特点及方案优缺点 (19)八、元件清单 (20)九、心得体会 (20)十、参考文献 (21)十翻二运算电路设计一、内容摘要关键字:十翻二运算、全加器、BCD码人们在向计算机输送数据时,首先把十进制数变成二—十进制数码即BCD 码,运算器在接受到二一十进制数码后,必须要将它转换成二进制数才能参加运算。
这种把十进制数转换成二进制数的过程称为“十翻二”运算。
本报告主要介绍十翻二电路的设计思路,实现方法与调试过程。
包括系统设计,方案比较,系统框图,单元模块分析与设计,完整电路图,电路工作原理,运行说明,调试方法与技巧,故障分析与解决方法,以及对电路的改进等。
二、技术指标1、系统结构要求系统结构方框图所示2、电气指标1.具有十翻二功能。
2.能完成三位数十进制数到二进制数的转换。
3.能自动显示十进制数及二进制数。
4.具有手动和自动清零功能。
3、设计条件1.电源条件:直流稳压电源提供+5V电压。
2.实验仪器和材料:三、主要芯片1、排阻排阻,就是若干个参数完全相同的电阻,它们的一个引脚都连到一起,作为公共引脚,其余引脚正常引出。
所以如果一个排阻是由n个电阻构成的,那么它就有n+1只引脚,一般来说,最左边的那个是公共引脚。
它在排阻上一般用一个色点标出来。
2、发光二极管发光二极管,就是在半导体p-n结或与其类似结构上通以正向电流时,能发射可见或非可见辐射的半导体发光器件。
注意发光二极管是一种电流型器件,虽然它的两端直接接上3V的电压后能够发光,但容易损坏,在实际使用中一定要串接限流电阻,工作电流根据型号不同一般为1mA到3OmA。
另外,由于发光二极管的导通电压一般为1.7V以上,所以一节1.5V的电池不能点亮发光二极管。
同样,一般万用表的R×1档到R×1K档均不能测试发光二极管,而R×10K档由于使用15V的电池,能把有的发光管点亮。
用眼睛来观察发光二极管,可以发现内部的两个电极一大一小。
一般来说,电极较小、个头较矮的一个是发光二极管的正极,电极较大的一个是它的负极。
若是新买来的发光管,管脚较长的一个是正极。
3、数码管(1)管脚图以A3A2A1A0 表示显示译码器输入的BCD 代码,以Ya—Yg 表示出的7 位二进制代码,并规定用1 表示数码管中线的点亮状态,用0 表示线段的熄灭状态。
(2)七段显示译码器的真值表输入输出数字A3 A2 A1 A0 Y a Y b Y c Y d Y e Y f 字形Y g0000011111101000101100002001011011013001111110014010001100115010110110116011000111117011111100008100011111119100111100111010100001101111011001100112110001000111311011001011141110000111115111100000004、74283四位超前进位并行加法器管脚排列图所谓超前进位加法器是指,为了提高运算速度,在电路结构中通过逻辑电路事先得出每一位全加器的进位输入信号,而无需再从最低位开始向高位逐位传递进位信号的多位加法器。
(1)全加器——实现一位二进制数加法输入:被加数Ai、加数Bi、低位的进位Ci-1输出:和Si、向高位的进位CiSi=Ai⊕Bi⊕Ci-1Ci =AiBi+(Ai⊕Bi)Ci-1(2)超前进位:各位的进位输出不经过低位加法器传输,直接由所有低位的加数、被加数产生。
Ci =AiBi+(Ai⊕Bi)Ci-1=Gi+PiCi-1产生变量:Gi =AiBi 传输变量:Pi =Ai⊕BiC1 = G1 +P1C 0C2 =G2+P2G1 +P2P1 C0C3 = G3+P3G2+P3P2G1 +P3P2P1C0C4 = G4+P4G3+P4P3G2 +P4P3P2G1 +P4P3P2 P1 C 0各进位信号同时产生,运算速度快,但电路复杂。
5、4511译码器4511是一个用于驱动共阴极LED (数码管)显示器的BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。
可直接驱动LED显示器。
6、八位拨码开关八位拨码开关,每一个部分多是一个独立的开关电路,开路拨向ON的一方,开关导通,否则就是断开。
在此电路中拨码开关的作用则是输入BCD码。
四、方案设计用加法器实现BCD码至二进制数的转换基于这样的事实:将BCD码字中各个为“1”的位所代表的权值的等值二进制数相加,即可获得该BCD码的等值二进制数。
例如:十进制数36,BCD码为00110110,其中为“1”的位从高到低的权值依次为20、10、4、2.20 --------1010010 --------010104 --------001002 --------00010 相加------------------------36 -------100100 (25+22)实际进行加法运算时,最低位不必进行。
最低位可以直接以BCD码字的最低二进制输出。
至于最低位以外的各个二进制位,也只需要将相同位置的“1”及相邻低位来的进位相加(次低位无最低位来的进位),而对于“0”则不必去将其相加。
相同位置的“1”的个数越少,所需要的加法次数也就越少,需要的加法器越少,实现的电路也越简单、经济。
(1)一片74283加法器构成的BCD码/6位二进制数变换电路:b0=D00b1=D01+D10b2=D02+D11+C1b3=D03+D10+C2b4=D11+C3b5=C4(2)两片74283加法器构成的BCD码/7位二进制数变换电路:b0=D00b1=D01+D10b2=D02+D11+C1b3=D03+D10+D12+C2b4=D11+D13+C30+C31b6=D13+C5由于加法器的任一位仅允许三个加法输入(被加数、加数、相邻低位来的进位),所以b3、b4的逻辑值必须经过两次加法运算才能获得。
将b3、b4的表达式进行分组:产生进位C30 产生进位C40b3=(D03+D10+C2)+D12 b4=(D11+C30)+D13+C31 产生部分和S30 产生部分和S40产生和b3及进位C31 产生和b4及进位C41b0=D00b1=D01+D10b2=D02+D11+C1b3=D03+D10+C2+D12b4=D11+C30+D13+C31b6=D13+C5(3)三片74283加法器构成的BCD码/10位二进制数变换电路:b0=D00b1=D01+D10b2=D02+D11+D20+C1b3=D03+D10+D12+D21+C20+C21 b4=D11+D13+D22+C30 +C31+C32 b5=D12+D20+D23+C40+C41b6=D13+D20+D21+C50+C51b7=D21+D22+C60+C61+C62b8=D22+D23+C70+C71b9=D23+C80五、完整电路设计1.布线●导线颜色●电源正(红色),地线(黑色)●信号总线(用不同的颜色,方便测试与查错)●导线走向●横平竖直(不同于PCB设计)●不架“天桥”,不走“地沟”●导线尽可能短,●长线不能分成一段一段(故障率高)。
●符合电气规则2.布局●集成电路缺口方向保持一致。
●元件布局疏密合理。
●元件位置合理●显示(上端),按键(下端),接口(左端)●电路结构合理,按模块(单元电路)布局●模拟数字分开,大小信号分开,信号源独立●电源线和地线接法●单点接地与多点接地●双回路供电●设置测试点●便于走线3、完整电路及简要说明接入+5V高电位后,经过公共端置1,此时在拨码开关上从低位到高位拨0-9之间的数(从右到左,分别为个位,十位,百位),经过4511译码器在数码管上显示十进制数;并经过5位全加器74283,实现十进制转换成二进制,在LED上显示。
4、单元电路设计输入部分:用拨码开关1-12位,输入BCD码。
与排阻串联。
四位代表一个十进制数。
拨码拨下开关1,表示2的0次方,2表示2的1次方,3表示2的2次方,4表示2的3次方。
显示部分:二进制数字的显示可以用LED 发光二极管指示,十进制数字的显示用七段数码管显示。
十翻二计算部分:全加器Σ可选用74LS283 全加器。
用加法器实现BCD码到二进制数的转换。
全加器16脚接高电位,7,8脚接低电位。
5、电路设计图:6、自己完成的实物图:六、安装与调试(一)使用的主要仪器仪表:万用表,实验箱。
(二)调试电路的方法和技巧:1、分模块连接、调试,待到各模块调试成功后,再将各模块连接起来同一调试。
2、分模块调试时,时钟部分先用实验箱上固定频率进行调试,待调试成功后,再将时钟模块连接好进行调试。
3、当出现错误时,先使用较低频率(1Hz)作为时钟,利用LED灯对出现错误部分的前级进行测验,对比设计逻辑以便找出错误所在。
4、连线时对时钟线、复位线、电源线、地线、数据线用不同颜色的电线连接以便于检查。
(三)测试数据(四)调试中出现的故障、原因及排除方法故障一:数码管显示不正确。
原因是数码管的3、4管脚未接高电位、5、8管脚未接低电位,不能以输入的信号控制数码管,连接后问题解决。
故障二:二极管显示不正确。
原因没有合理考虑进位问题。
原先是用四块74283实现的,考虑进位后,采用了五块74283解决了该问题。
七、电路特点及方案优缺点此电路由于分模块设计,所以功能清晰,并易于分块调试,且接法相对简单,但由于既要供电于数码管,又要供电给十翻二电路,译码器电流不足,会导致数码管显示偶数正常而奇出现问题。
可在拨码开关和4511之间加门电路增加译码器的驱动能力解决该问题。
八、元件清单九、心得体会这次电路设计让我了解了电子电路的一些基本知识,实验的课题为“十翻二运路电路”。
由于,在之前的学习中,没有接触过电路方面的知识,刚开始觉得设计很困难,从每个元器件的作用,到运算电路的算法的设计,每一步都很困难。