交通信号灯设计报告(含程序)
- 格式:doc
- 大小:388.50 KB
- 文档页数:28
上海理工大学之袁州冬雪创作课程设计报告书题目:plc课程设计系名:电气工程及其自动化专业班级:姓名:学号:指导教员:7月 1日课程设计任务书学生姓名:专业班级:指导教员:工作单位:题目:十字路口交通灯的节制一.初始条件1.给定交通灯节制的时序逻辑和工作形式;2.给出用于系统调试用的S7300PLC、计算机及交通灯模子;3.给出PLC系统的编程软件.二.要求完成的主要任务1. 设计要求⑴根据课程设计指导书的交通灯节制所要求的时序逻辑,要求用线性化编程和布局化编程两种编程方法来实现该节制逻辑.⑵在布局化编程方法中,以某一方向的红灯和另外一方向的绿灯和黄灯为节制对象编制FC1,OB1中调用FC1.而且东西向和南北向灯只能调用同一个FC1.2. 设计陈述撰写要求内容要求一般要求包含如下内容:⑴目次编制课程设计的目次,目次的各级题目依照章节顺序摆列,最多列到三级题目即可,如1.1.1.⑵引言课程设计正文前的简短先容.包含本课题的设计目标、设计的主要过程及主要的设计内容.⑶电路设计要求画出PLC的输入/输出接线图.⑷ PLC硬件组态要求列出硬件组态表.⑸ PLC编程元件的地址分配首先对输入/输出点停止地址分配,然后对其他编程元件也停止地址分配,如位存储器M,定时器T,计数器C等.编程中要使用符号地址,所以在OB1中要编辑符号表(包含输入继电器、输出继电器、定时器及位存储器(或叫辅助继电器),在FC1中要编辑变量声明表.⑹编写节制程序要求:①编写线性化程序;②在布局化编程中分别编制OB1和FC1;③在程序段中添加注释.⑺程序调试说明对设计中遇到的主要问题及处理方法、调试过程及方法、在调试过程中对对原设计程序做了哪些有意义的改进及调试的成果几方面停止阐述.⑻竣事语对本课程设计停止总结,写出设计中的体会.⑼主要参考文献写出完成设计任务过程中参考的主要参考文献,注意参考文献的格式.格式要求1.纸张格式:要求统一用A4纸打印,页面设置上空2.5cm,下空2.0cm,左空2.5cm,右空2.0cm):2. 正文条理:正文内容条理序号为:1、1.1、1.1.1……,其中⑴.正文题目;一级题目1.(黑体小2号加粗),二级题目 1.1(黑体小三号),三极题目 1.1.1(黑体小四号).⑵.正文内容格式:宋体五号,1.25倍行距.3. 参考文献格式:参考文献很多于5个,并应按文献号、作者、文献题名、出版地:出版社和出版年等顺序书写.如:[1] 戴军,袁惠新.膜技术在含油废水处理中的应用.膜迷信与技术,.4. 图表要求:所有曲线、图表、线路图、流程图、程序框图、示意图等不准徒手画,必须采取计算机辅助绘图.图序及图名置于图的下方;表序及表名置于表的上方;图表一律采取采取阿拉伯数字持续编号.装订顺序设计陈述依照如下顺序装订:封面—任务书—目次—正文—参考文献—评分表.2.2课题二:十字路口交通灯的节制⑴ 综合应用所学PLC知识设计一个交通灯节制系统;⑵ 通过自行编程调试掌握PLC节制系统的设计方法.2.2.2任务描绘:某十字路口的东西方向和南北方向分别装置红、绿、黄交通信号灯,设置如下图1.1所示:图1.1 十字路口交通灯设置示意图2.2.3节制要求:交通信号灯在白日和夜晚的工作方式分歧,由选择开关SA 停止节制.⑴ 白日工作形式:表11 交通信号灯的详细节制要求表中绿灯闪烁的频率为1HZ,亮500MS灭500MS循环.图1.2 交通信号灯白日工作时的时序图⑵ 夜晚工作形式:当SA选择夜晚工作形式时,红灯和绿灯停止工作,只有黄灯一直闪烁,闪烁的频率为1HZ(亮500MS灭500MS循环).2.2.4 程序设计方案要求:⑴ 要求用线性化编程和布局化编程两种编程方法来实现.⑵ 在布局化编程中,以某一方向的红灯和另外一方向的绿灯和黄灯为节制对象编制FC1,OB1中调用FC1.而且东西向和南北向灯只能调用同一个FC1.2.2.5课程设计陈述的主要内容:⑴ 目次编制课程设计的目次,目次的各级题目依照章节顺序摆列,列到三级题目即可.⑵ 引言课程设计正文前的简短先容.包含本课题的设计目标、设计的主要过程及主要的设计内容.⑶ 电路设计要求画出PLC的输入/输出接线图.⑷ PLC硬件组态要求列出硬件组态表.⑸ PLC编程元件的地址分配首先对输入/输出点停止地址分配,然后对其他编程元件也停止地址分配,如位存储器M,定时器T,计数器C等.编程中要使用符号地址,所以在OB1中要编辑符号表(包含输入继电器、输出继电器、定时器及位存储器(或叫辅助继电器),在FC1中要编辑变量声明表.⑹ 编写节制程序要求① 编写线性化程序;② 在布局化编程中分别编制OB1和FC1;③ 在程序段中添加注释.⑺ 程序调试说明对设计中遇到的主要问题及处理方法、调试过程及方法、在调试过程中对对原设计程序做了哪些有意义的改进及调试的成果几方面停止阐述.⑻ 竣事语对本课程设计停止总结,写出设计中的体会.⑼ 主要参考文献写出完成设计任务过程中参考的主要参考文献,注意参考文献的格式.据不完全统计,今朝我国城市里的十字路口交通系统大都采取定时来节制(不解除繁忙路段或高峰时段用交警来取代交通灯的情况),这样必定发生如下弊病:当某条路段的车流量很大时却要等待红灯,而此时另外一条是空道或车流量相对少得多的道却长时间亮的是绿灯,这种多等少的尴尬现象是未对实际情况停止实时监控所造成的,不但让司机乘客怨声载道,而且对人力和物力资源也是一种华侈. 智能节制交通系统是今朝研究的方向,也已经取得很多成果,在少数几个先进国家已采取智能方式来节制交通信号,其中主要运用GPS全球定位系统等.出于便捷和效果的综合思索,我们可用如下方案来节制交通路况:制作传感器探测车辆数量来节制交通灯的时长.详细如下:在入路口的各个方向附近的地下按要求埋设感应线圈,当汽车颠末时就会发生涡流损耗,环状绝缘电线的电感开端减少,即可检测出汽车的通过,并将这一信号转换为尺度脉冲信号作为可编程节制器的节制输入,并用PLC 计数,按一定节制规律自动调节红绿灯的时长. 比较传统的定时交通灯节制与智能交通灯节制,可知后者的最大优点在于减缓滞流现象,也不会出现空道占时的情形,提高了公路交通通行率,较全球定位系统而言成本更低.1.4 PLC编程元件的地址分配3。
信息与电子工程学院课程设计报告目录一、课程设计概述 (3)1.1课程设计内容 (3)1.2课程设计技术指标 (3)二、方案的选择及确定 (4)三、系统硬件设计 (5)四、系统软件设计 (6)五、触摸屏设计 (8)六、系统调试 (9)七、总结以体会 (9)八、参考文献 (10)九、附录 (10)附录1:I/O分配表: (10)附录2:仪器与器件 (10)附录3:外部接线图 (11)附录4:触摸屏页面图 (12)附录5:使用说明 (12)一、课程设计概述1.1课程设计内容在本设计中以城市十字路口为研究对象,根据十字路口车辆运行情况,调整各红、绿、黄灯亮的时间,如下图交通灯的时间和亮灯的情况表所示:1、黄灯亮时提示相应的车辆准备。
2、绿灯亮时表示车辆直行。
3、绿灯闪烁时表示车辆转弯行驶。
4、红灯亮时表示禁止通行。
当交通灯通上电后,开始工作,一个循环时间为70s,周而复始。
此交通灯每转换红、绿灯中间都设有黄灯2s,即可提示相应的车辆准备又让上一步骤行驶的车辆有效的保证全部通过十字路口,不仅提高了速度还提高了安全系数。
1.2课程设计技术指标1、东西红灯亮的同时南北黄灯亮2秒提示南北直行车辆准备,后南北绿灯亮24秒南北车辆可直行,然后在黄灯亮2秒提示南北转弯车辆准备,后在绿灯闪烁7秒此时南北转弯车辆可行驶。
后跳转至南北红灯同时东西黄灯亮2秒提示东西直行车辆准备,后东西绿灯亮24秒东西车辆可直行,然后在黄灯亮2秒提示东西转弯车辆准备,后在绿灯闪烁7秒此时东西转弯车辆可行驶,周而复始。
2、各方向的交通灯受一个启动开关控制,当启动开关接通时,交通灯开始工作,且先东西红灯亮,南北黄灯亮。
当起动开关断开时,所有信号灯都同时熄灭。
3、程序要求各方向的同色交通灯不能同时亮,并且各方向不同状态切换时都有黄灯2秒的准备时间。
4、车辆的运行必须要在路口交通灯显示为绿灯的时候才能启动。
5、运用PLC做实验的时候必须要运用到在PLC仪器上的触摸屏来显示程序的实验结果。
摘要 (2)1.引言 (3)2.总体设计方案 (3)2.1. 设计思路 (3)2.1.1.设计目的 (3)2.1.2.设计任务和内容 (4)2.1.3.方案比较、设计与论证 (4)2.1.4.芯片简介 (6)2.2. 设计方框图 (9)3.设计原理分析 (9)3.1. 交通灯显示时序的理论分析与计算 (9)3.2. 交通灯显示时间的理论分析与计算 (11)3.3. 电路模块 (12)3.3.1.LED数码管显示模块 (12)3.3.2.LED红绿灯显示模块 (14)3.3.3.复位电路 (16)3.3.4.晶振电路 (17)4.结束语 (17)5.参考文献 (17)6.附录 (18)6.1. 附录1:程序清单 (18)6.2. 附录2:电路设计总图 (23)6.3附录3:实物图............................................................................ 错误!未定义书签。
摘要交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。
交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。
近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。
在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。
本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。
本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。
本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。
系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。
交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。
一、引言随着城市化进程的加快,交通拥堵问题日益严重,交通信号灯作为城市交通管理的重要手段,对于提高道路通行效率、保障交通安全具有重要作用。
为了让学生更好地了解交通信号灯的工作原理和设计方法,我们开展了交通灯课程设计实训。
本文将对实训过程进行总结,并对设计成果进行分析。
二、实训目的1. 熟悉交通信号灯的工作原理和设计方法;2. 学会使用单片机进行交通信号灯控制;3. 提高学生的实践能力和创新能力;4. 培养学生的团队协作精神。
三、实训内容1. 交通信号灯基本原理交通信号灯主要包括红灯、黄灯和绿灯三种颜色,分别代表禁止通行、注意和允许通行。
交通信号灯的基本工作原理是:通过单片机控制信号灯的亮灭,实现交通信号的变换。
2. 单片机交通信号灯控制系统设计本实训采用AT89C52单片机作为核心控制单元,设计了一个十字路口交通信号灯控制系统。
系统主要包括以下部分:(1)硬件电路设计:包括单片机、信号灯模块、按键模块、数码管显示模块等。
(2)软件设计:主要包括初始化程序、主程序和中断服务程序。
3. 交通信号灯控制策略(1)基本控制策略:南北方向绿灯亮时,东西方向红灯亮;南北方向黄灯亮时,东西方向红灯亮;南北方向红灯亮时,东西方向绿灯亮;南北方向红灯亮时,东西方向黄灯亮。
(2)时间控制策略:绿灯亮20秒,黄灯亮4秒,红灯亮24秒。
(3)手动/自动控制策略:通过按键切换手动/自动模式,实现交通信号灯的手动控制。
四、实训过程1. 硬件电路搭建:按照设计要求,将单片机、信号灯模块、按键模块、数码管显示模块等硬件电路连接起来。
2. 软件编程:使用C语言编写单片机程序,实现交通信号灯的控制。
3. 系统调试:对系统进行调试,确保交通信号灯工作正常。
4. 优化设计:根据实际情况,对系统进行优化设计,提高系统性能。
五、实训成果1. 成功设计并实现了十字路口交通信号灯控制系统。
2. 系统具有手动/自动控制功能,可满足实际交通需求。
徐州工业职业技术学院《交通灯》实验报告题目:交通灯实验系部:电气工程系年级专业:应用电子082学生姓名:谢宜峰学号:830706032 指导老师:张江伟实验时间: 2010年6月22日一、实验目的仿真十字路口交通信号灯的工作过程,设计一个交通信号灯控制器。
要求: (1)、交通灯从绿变红时,有3秒黄灯亮的间隔时间; (2)、交通灯红变绿是直接进行的,没有间隔时间;(3)、主干道上的绿灯时间为27秒,支干道的绿灯时间为27秒; (4)、在任意时间,显示每个状态到该状态结束所需的时间。
图1路口交通管理示意图二、实验原理1、系统框图RGY三、各功能实现原理1.减计数器(1)程序Library ieee;use ieee.std_logic_1164.all; --打开程序包 use ieee.std_logic_unsigned.all; entity jianjishuqi isPort( ld,clk: in std_logic; --,clk 时钟,ld 使能 gg,ss: in std_logic_vector(3 downto 0); --gg 个位,ss 十位co : out std_logic; --进位 g,s : buffer std_logic_vector(3 downto 0) --g个位,s十位 );end jianjishuqi;Architecture a of jianjishuqi isbeginco <='1' when (g = "0000" AND s = "0000") else '0' ; --条件赋值 process( clk,ld ) --计数进程beginif ld = '1' theng <=gg; s<=ss;elsif rising_edge( clk ) then --如果时钟上升沿 falling_edge if(g="000" and s="0000") theng<=gg; s<=ss ;elsif g="0000" then --如果个位等于0 g<="1001"; s<=s-1 ; --个位9,十位减1 else --其它g<=g-1;s<=s; --个位减1,十位不变end if;end if;end process;end a;(2)仿真波形减计数器模块仿真波形(3)模块2.初值选择器(1)程序Library IEEE;Use Ieee.Std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chuzhixuanze isport (sel :in std_logic_vector(1 downto 0);s:out std_logic_vector(3 downto 0);g:out std_logic_vector(3 downto 0));end chuzhixuanze;architecture a of chuzhixuanze isbeginprocess (sel)beginif sel = "01" theng<="0000";s<="0011";elsif sel = "10" theng<="0011"; s<="0000";elsif sel = "11" theng<="0111"; s<="0010";elseg <= "0000"; s<="0000";end if;end process;end a;(2)仿真波形初值选择器模块仿真波形(3)模块3.控制器(1)程序Library IEEE;Use Ieee.Std_logic_1164.all;use ieee.std_logic_unsigned.all;Entity kongzhiqi IsPort ( co ,clk ,rst:In Std_logic;LD:Out Std_logic ;sel:out std_logic_vector(1 downto 0); rgy:out std_logic_vector(0 to 2));end kongzhiqi;Architecture dd Of kongzhiqi IsType state_type Is( taob1 , taob2, taob3 , taob4 , taob5 ,taob6 ) ; Signal state : state_type;Beginchange_State:Process ( clk ,rst , co )BeginIF rst = '1' ThenState <= taob1 ;Elsif rising_edge( clk ) ThenCase state ISWhen taob1 =>state <= taob2 ;When taob2 =>IF co = '1' Thenstate <= taob3 ;Elsestate <= taob2;End if;When taob3 =>state <= taob4 ;When taob4 =>IF co = '1' Thenstate <= taob5 ;Elsestate <= taob4;End if;when taob5 =>state <= taob6 ;when taob6=>if co = '1' Thenstate <= taob1;else state <= taob6;end if;End case;End IF;End Process;Output_Process:Process( state )BeginCase state ISWhen taob1 =>sel<="01"; LD<='1'; rgy<="100";When taob2 =>sel<="00"; LD<='0'; rgy<="100";When taob3 =>sel<="11"; LD<='1'; rgy<="010";When taob4 =>sel<="00"; LD<='0'; rgy<="010";When taob5 =>sel<="10"; LD<='1'; rgy<="001";When taob6 =>sel<="00"; LD<='0'; rgy<="001";end case;end Process;end dd;(2)仿真波形控制器模块仿真波形(3)模块4.译码器(1)程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; --打开程序包USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY disp ISPORT (d:IN STD_LOGIC_VECTOR(3 DOWNTO 0);q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END disp;ARCHITECTURE a OF disp ISBEGINPROCESS (d) --解碼进程 BEGINCASE d ISWHEN"0000"=>q<="0111111"; --0 WHEN"0001"=>q<="0000110"; --1 WHEN"0010"=>q<="1011011"; --2 WHEN"0011"=>q<="1001111"; --3 WHEN"0100"=>q<="1100110"; --4 WHEN"0101"=>q<="1101101"; --5 WHEN"0110"=>q<="1111101"; --6 WHEN"0111"=>q<="0100111"; --7 WHEN"1000"=>q<="1111111"; --8 WHEN"1001"=>q<="1101111"; --9 WHEN OTHERS=>q<="0000000"; --其它无显示 END CASE;END PROCESS;END a;(2)仿真波形译码器模块仿真波形(3)模块8.总图。
交通信号灯控制系统设计实验报告设计目的:本设计旨在创建一个交通信号灯控制系统,该系统可以掌控红、绿、黄三种交通信号灯的工作,使其形成一种规律的交替、循环、节奏,使车辆和行人得以安全通行。
设计原理:在实际的交通灯系统中,通过交通灯控制器控制交通灯的工作。
一般采用计时器或微电脑控制器来完成,其中微电脑控制器可以方便地集成多种控制模式,并且灵活易于升级。
在本设计中,我们采用了基于Atmega16微控制器的交通信号灯控制系统。
该系统通过定时器中断、串口通信等技术来实现。
由于控制的是三个信号灯的交替,流程如下:绿灯亮:红灯和黄灯熄灭绿灯由亮到灭的时间为10秒黄灯亮:红灯和绿灯熄灭黄灯由亮到灭的时间为3秒红灯亮:绿灯和黄灯熄灭红灯由亮到灭的时间为7秒重复以上过程硬件设计:整个系统硬件设计包含ATmega16控制器、射频芯片、电源模块和4个灯组件。
ATmega16控制器采用DIP封装,作为主要的控制模块。
由于需要串口通信和遥控器控制,因此添加了RF24L01射频芯片。
该射频芯片可以很方便地实现无线通信和小型无线网络。
4个灯组件采用红、绿、黄三色LED灯与对应300Ω电阻并连。
电源模块采用5V稳压电源芯片和电容滤波,确保整个系统稳定可靠。
软件设计:通过ATmega16控制器来实现交通信号灯控制系统的功能。
控制器开始执行时进行初始化,然后进入主循环。
在主循环中,首先进行红灯亮的操作,接着在计时时间到达后执行黄灯亮的过程,然后执行绿灯亮的过程,再到计时时间到的时候执行红灯亮的过程。
每个灯持续时间的计时采用了定时器的方式实现,在亮灯过程中,每秒钟进行一次计数,到达相应的计数值后,切换到下一步灯的操作。
在RF24L01射频芯片的支持下,可以使用无线遥控器来对交通信号灯的控制进行远程控制。
在系统初始化完成后,通过串口通信对RF24L01进行初始化,然后进入控制循环。
在这个控制循环中,接收到遥控器的指令后,进行相应的控制操作,如开、关灯等。
一、实验目的1. 理解交通灯控制系统的基本原理和设计方法。
2. 掌握使用单片机进行交通灯控制系统的设计与实现。
3. 培养动手实践能力和团队协作精神。
二、实验原理交通灯控制系统是城市交通管理的重要组成部分,其主要目的是通过红、黄、绿三种信号灯的变换,实现对车辆和行人的有序通行。
本实验采用单片机作为控制核心,通过编写程序实现对交通灯的控制。
三、实验设备1. 单片机开发板(如51单片机开发板)2. 交通灯模块(红、黄、绿三色LED灯)3. 按键模块4. 数码管模块5. 电阻、电容等电子元器件6. 调试工具(如万用表、示波器等)四、实验步骤1. 系统设计(1)确定交通灯控制系统的功能需求:实现红、黄、绿三色LED灯的交替闪烁,满足交通信号灯的基本要求。
(2)设计系统框图:单片机作为核心控制单元,通过编写程序实现对交通灯的控制。
系统框图如下:```+------------------+ +------------------+ +------------------+| | | | | || 单片机 |-------| 交通灯模块 |-------| 按键模块|| | | | | |+------------------+ +------------------+ +------------------+```(3)编写程序:根据系统需求,编写单片机控制程序,实现红、黄、绿三色LED灯的交替闪烁。
2. 硬件搭建(1)将单片机开发板与交通灯模块、按键模块、数码管模块等连接。
(2)根据电路原理图,连接电阻、电容等电子元器件。
(3)使用万用表测试电路连接是否正确。
3. 软件编程(1)使用C语言编写单片机控制程序。
(2)编译程序,生成可执行文件。
(3)将可执行文件烧录到单片机中。
4. 系统调试(1)使用示波器观察单片机引脚输出波形。
(2)检查交通灯模块是否正常工作。
(3)使用万用表测试按键模块是否正常工作。
(4)根据实际情况调整程序参数,确保系统稳定运行。
交通信号灯课程设计报告一、引言交通信号灯是城市交通管理中重要的组成部分,它通过颜色信号指示道路的交通流量,维护交通秩序,确保交通的安全和高效。
本报告旨在探讨交通信号灯的设计原则、信号灯的种类、信号灯控制算法以及未来交通信号灯发展的趋势。
二、交通信号灯的设计原则交通信号灯的设计应遵循以下原则: 1. 可视性:信号灯要能够清晰地展示给司机和行人,避免出现盲点或被遮挡的情况。
2. 易识别性:信号灯的颜色和形状应该简明易懂,让用户可以迅速理解所传达的信息。
3. 一致性:不同的信号灯应该保持一致的设计风格,避免混淆和误解。
4. 合理性:信号灯的时长和配时需要根据道路流量和交通状况进行合理分配,既可以确保交通的畅通,又避免不必要的等待时间。
三、交通信号灯的种类交通信号灯的种类主要包括三色交通灯、直行箭头交通灯、左转箭头交通灯和倒计时交通灯等。
3.1 三色交通灯三色交通灯包括红灯、黄灯和绿灯,分别表示停车、准备起步和通行。
3.2 直行箭头交通灯直行箭头交通灯用于控制直行车辆的通行,可以与三色交通灯配合使用,增加交通效率。
3.3 左转箭头交通灯左转箭头交通灯用于控制左转车辆的通行,可以与三色交通灯或直行箭头交通灯配合使用。
3.4 倒计时交通灯倒计时交通灯通过数字显示剩余时间,告知驾驶员和行人可以通过的时间,增加通行的透明度和可预测性。
四、交通信号灯的控制算法交通信号灯的控制算法主要包括固定时间控制、感应控制和自适应控制。
4.1 固定时间控制固定时间控制是最简单的控制算法,根据交通流量和道路特点预先设定信号灯的配时方案,按照固定的时间间隔改变信号状态。
然而,这种算法无法根据实际交通情况进行调整,容易造成拥堵或资源浪费。
4.2 感应控制感应控制利用传感器监测交通流量和环境状况,并根据实时数据调整信号灯的配时。
这种算法可以根据实际情况进行动态调整,提高通行效率,但对于复杂的交叉口和高峰时段的交通管理仍然存在一定的局限性。
单片机应用技术报告题目:交通灯应用系统班级:10电子信息小组:第九组目录1.摘要2.51单片机的功能与简介3.交通灯方案4.主程序流程图5.原理图及说明6.调试过程及流程图7.总结摘要:分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。
1、51单片机的功能与简介51单片机是对目前所有兼容Intel 8031指令系统的单片机的统称。
该系列单片机的始祖是Intel的8031单片机,后来随着Flash ROM 技术的发展,8031单片机取得了长足的进展,成为目前应用最广泛的8位单片机之一,其代表型号是ATMEL 公司的AT89系列,它广泛应用于工业测控系统之中。
目前很多公司都有51系列的兼容机型推出,在目前乃至今后很长的一段时间内将占有大量市场。
51单片机是基础入门的一个单片机,还是应用最广泛的一种,对初学者来说是比较适合的学习单片机的。
2、交通信号灯方案:(1)、南北直行绿灯亮,东西直行红灯亮,延时。
(2)、南北直行绿灯闪烁几次转黄灯,南北左转(固定绿灯)亮,南北直行红灯亮,东西直行仍然红灯亮,延时。
(3)、南北左转灯闪几次转黄灯,东西直行绿灯亮,南北直行仍然红灯,延时。
(4)、东西直行绿灯闪烁几次转黄灯,东西左转灯亮,东西直行红灯亮,南北直行仍然红灯,延时。
(5)、循环至1,继续。
(这里左转时绿灯亮,不转时灭)(6)、倒计时部分。
(南北、东西方向时间独立)3、引脚分配及元件清单5、主程序流程图:(1)定时0中断流程图:WW BIT 00H;1s标志位MM EQU 40H;秒值计数器,用于累加秒值AA EQU 41H ;中断次数,用于统计定时中断的次数BB EQU 5EH ;定义5eh,5fh为显示缓冲区XIAOYING EQU 10;消隐吗在字形表的第十位ORG 0000H; 程序从0000h开始JMP MAIN;ORG 000BH;定时器t0中断人口LJMP TIME0ORG 0003H;外部中断入口LJMP INT_0ORG 030H;主程序从这里开始MAIN: MOV SP,#70HMOV MM,#0;秒计数器MOV MM,#30;MOV BB,#3;立即数送显示缓冲区十位MOV BB+1,#0;立即数送个位缓冲区ACALL DISP;调显示子程序ACALL TIME0_INIT;;调定时器t0初始化子程序CLR WW; 清零秒标志位;/*****--------- 南北车辆直行15S --------L1: mov p1,#07eh ;绿灯共亮10SACALL LOOP3 //调用显示程序MOV R7,MMCJNE R7,#21,L1; 到21S时跳转到绿灯闪烁L2: mov p1,#0feh ; 灭绿灯闪3次ACALL LOOP3JBC WW,L2L3: mov p1,#7eh; 亮ACALL LOOP3JBC WW,L3 WW秒标志位不为1,继续循环MOV R7,MMCJNE R7,#15,L2 ; 南北直行绿灯共亮15S*------------------ 南北左拐10S ----------------------------- */SETB P1.7 ;灭南北绿灯mov p1,#0BEh ;亮南北黄灯,同时亮南北直行红灯ACALL LOOP3JBC WW,L2L16: ACALL LOOP3JBC WW,L16SETB P1.6 ; 灭南北黄灯共亮3SACALL LOOP3JBC WW,L2L4: mov p1,#0ceh ;亮南北左拐灯ACALL LOOP3MOV R7,MMCJNE R7,#6,L4 ;L5: mov p1,#0deh; 南北左拐灯闪3次ACALL LOOP3JBC WW,L2mov p1,#0ceh ;ACALL LOOP3JBC WW,L3MOV R7,MMCJNE R7,#2,L5;SETB P1.4 灭左拐灯L6: CLR P1.6 亮黄灯ACALL LOOP3JBC WW,L6L15 : ACALL LOOP3JBC WW,L15;/*==================东西方向直行15S ===================*********/ L7: mov p1,#0dbh ; // 东西绿灯亮ACALL LOOP3MOV R7,MMCJNE R7,#21,L7;L8: mov p1,#0dfh ;绿灯闪3次ACALL LOOP3JBC WW,L8L9: mov p1,#0dbh;ACALL LOOP3JBC WW,L9MOV R7,MMCJNE R7,#15,L8 ;/*=========== 东西左拐10S ========== */ SETB P1.2 ;灭东西绿灯mov p1,#0DDh ;亮黄灯ACALL LOOP3JBC WW,L8ACALL LOOP3JBC WW,L8L17: SETB P1.1 ;灭黄灯ACALL LOOP3JBC WW,L8L10: mov p1,#0d6h;亮左转灯ACALL LOOP3MOV R7,MMCJNE R7,#6,L10 ;L11: mov p1,#0deh;灭东西左拐灯ACALL LOOP3JBC WW,L11mov p1,#0d6h ;ACALL LOOP3JBC WW,L11MOV R7,MMCJNE R7,#2,L11;SETB P1.3L12: CLR P1.1;亮黄灯ACALL LOOP3JBC WW,L12ACALL LOOP3L18: JBC WW,L18LJMP L1; ------- 中断0服务程序---------INT_0:PUSH ACCPUSH PSWMOV P1,#0FFHMOV P2,#0FFHMOV MM,#11L13: ACALL LOOP3mov p1,#0deh ;东西红灯亮;南北红灯亮MOV A,MMCJNE A,#1,L13MOV P1,#0FFHMOV P2,#0FFHPOP ACC ;恢复现场POP PSWRETI/***============显示子程序=============*/ LOOP3:NOPLOOP: JBC WW,NEXT;WW为1,说明1s到ACALL DISP;不为1调用显示子程序AJMP LOOP;一秒未到继续循环NEXT: lCALL CC ;调用转换子程序RETCC: MOV A,MM;获得秒值,并送到aMOV B,#10DIV ABJZ NEXT1;如果a中的值为0,高位消隐AJMP NEXT2;否则直接送去显示NEXT1:MOV A,#XIAOYING;消隐码送aNEXT2:MOV BB,A;十位送显示BBMOV BB+1,B;个位送BB+1ACALL DISP ;调用显示子程式RET;----- 显示子程序-------DISP: PUSH ACCPUSH PSWMOV A,BB;去十位带显示位MOV DPTR,#TAB;MOVC A,@A+DPTRMOV P0,ACLR P2.1ACALL DELAYSETB P2.1MOV A,BB+1MOV DPTR,#TAB;MOVC A,@A+DPTRMOV P0,ACLR P2.0ACALL DELAYSETB P2.0POP PSWPOP ACCRET; -----------10ms延时子程序----------- DELAY:MOV R5,#50LOOP2:MOV R4,#100LOOP1: DJNZ R4,LOOP1DJNZ R5,LOOP2RET;定时初始化程序TIME0_INIT:MOV TMOD,#01HMOV TH0,#3CHMOV TL0,#0B0HCLR IT0;SETB EASETB EX0;开外部中断0SETB PT0 ; 定时器中断优先SETB ET0SETB TR0;启动定时器RET;----------定时50ms中断程序--------TIME0:PUSH ACCPUSH PSWMOV TH0,#3CHMOV TL0,#0B0HINC AAMOV A,AACJNE A,#20,TIME_EXIT;20*50=1MOV AA,#0;SETB WW;DEC MM;MOV A,MM;CJNE A,#0,TIME_EXIT;若秒值不到30,则跳转到TIME_EXITMOV MM,#30;若秒数到30,则秒值计数复位为0TIME_EXIT:POP PSWPOP ACCRETITAB:DB 0C0H,0F9H,0A4H,0B0H,99H;DB 92H,82H,0F8H,80H,90H,0FFHEND4、原理图说明:交通灯的计数的数码管用采用了动态扫描方式来计时,由于单片机的输出负载驱动数码管能力不足,所以用了74LS240作为数码管的驱动芯片,使得数码管能正常工作。
交通信号灯实验报告交通信号灯实验报告一、引言交通信号灯是城市交通管理中不可或缺的一部分。
它通过颜色的变化来指示行人和车辆何时可以通行,何时需要停车等待。
为了了解交通信号灯对交通流量和交通事故的影响,我们进行了一项实验。
二、实验设计我们选择了一条繁忙的城市街道作为实验场地,安装了一组交通信号灯。
实验共分为三个阶段:无信号灯阶段、定时信号灯阶段和感应信号灯阶段。
每个阶段持续时间为一周。
三、实验过程1. 无信号灯阶段在这个阶段,我们移除了交通信号灯,并记录了交通流量和交通事故的数据。
结果显示,交通流量明显增加,而交通事故的数量也大幅上升。
这是因为没有信号灯的指示,车辆和行人难以协调通行,容易发生碰撞和交通堵塞。
2. 定时信号灯阶段在这个阶段,我们设置了固定的时间间隔,交通信号灯按照固定的时间间隔进行变换。
我们记录了交通流量和交通事故的数据。
结果显示,交通流量相对稳定,但仍然存在交通堵塞的情况。
交通事故的数量有所下降,但仍然较高。
这是因为定时信号灯无法根据实际交通状况进行调整,导致交通流量和车辆速度无法得到有效控制。
3. 感应信号灯阶段在这个阶段,我们安装了感应器,交通信号灯可以根据实时交通状况进行调整。
我们记录了交通流量和交通事故的数据。
结果显示,交通流量得到了更好的控制,交通堵塞的情况明显减少。
交通事故的数量也大幅下降。
这是因为感应信号灯可以根据实际交通状况进行智能调整,提高了交通的效率和安全性。
四、实验结论通过这个实验,我们得出了以下结论:1. 交通信号灯对于交通流量和交通事故有着重要的影响。
2. 无信号灯情况下,交通流量增加,交通事故数量上升。
3. 定时信号灯虽然能够稳定交通流量,但无法根据实际情况进行灵活调整。
4. 感应信号灯可以根据实时交通状况进行智能调整,提高交通效率和安全性。
五、实验启示本实验结果提醒我们,交通信号灯的设计和管理应该更加科学和智能化。
只有通过合理的信号灯设置和智能的信号控制,才能更好地管理交通流量,减少交通事故的发生。
竭诚为您提供优质文档/双击可除plc交通信号灯控制实验报告篇一:交通灯pLc控制实验报告交通灯的pLc控制实验报告学院:自动化学院班级:0811103姓名:张乃心学号:20XX213307实验目的1.熟悉pLc编程软件的使用和程序的调试方法。
2.加深对pLc循环顺序扫描的工作过程的理解。
3.掌握pLc的硬件接线方法。
4.通过pLc对红绿灯的变时控制,加深对pLc按时间控制功能的理解。
5.熟悉掌握pLc的基本指令以及定时器指令的正确使用方法。
实验设备1.含可编程序控制器microLogix1500系列pLc的Demo 实验箱一个2.可编程序控制器的编程器一个(装有编程软件的pc 电脑)及编程电缆。
3.导线若干实验原理交通指挥信号灯图I/o端子分配如下表注:pLc的24VDc端接Demo模块的24V+;pLc的com端接Demo模块的com。
系统硬件连线与控制要求采用1764-L32Lsp型号的microLogix1500可编程控制器,进行I/o端子的连线。
它由220VAc供电,输入回路中要串入24V直流电源。
1764系列可编程控制器的产品目录号的各位含义如下示。
1764:产品系列的代号L:基本单元24:32个I/o点(12个输入点,12个输出点)b:24V 直流输入w:继电器输出A:100/240V交流供电下图为可编程控制器控制交通信号灯的I/o端子的连线图。
本实验中模拟交通信号灯的指示灯由24V直流电源供电。
o/2-o/4为南北交通信号灯,o/5-o/7为东西交通信号灯。
实现交通指挥信号灯的控制,交通指挥信号灯的布置,控制要求如下:(1)信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始正常工作,且先南北红灯亮,东西绿灯亮。
当启动开关断开时,所有信号灯熄灭。
(2)南北红灯维持25秒。
在南北红灯亮的同时东西绿灯也亮,并维持20秒。
到20秒时,东西绿灯闪亮,闪亮3秒后熄灭。
在东西绿灯熄灭时,东西黄灯亮,并维持2秒。
单片机C语言程序设计专题实习专周报告班级:学号:姓名:指导老师:专周成绩:一、硬件设计1.设计要求:○1A、B两通道都有车,两道正向放行35s,然后左向行驶放行15s。
[当A道放行时(绿),B道禁止(红),反之亦然,放行时间中包含有闪烁时间]○2绿灯→红灯转换必须经5s的警视状态○3设置紧急情况处理器,当紧急情况发生时,两道都亮红灯,让紧急车辆通过,完备再回到当前的状态○4具有数码管显示倒计时功能。
2.图样:北东南3.硬件选择:选用8052单片机一片,红、黄、绿LED交通显示灯各4个,导线若干,7SEG数码显示管。
单片机模块:主控芯片采用AT89C52单片机, AT89C52是AT89C5X系列单片机的典型产品,我们以这一代表性的机型进行系统的讲解。
AT89C52单片机包含中央处理器、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等几大单元及数据总线、地址总线和控制总线等三大总线。
AT89C52共有4组8位I/O口(P0、P1、P2或P3),用于对外部数据的传输,其内置最高频率达12MHz的时钟电路,用于产生整个单片机运行的脉冲时序。
管脚图如下图所示。
倒计时显示模块:由于黄灯5秒闪烁时间不单另计时,四个路口的倒计时是同步的。
故倒计时采用两个七段数码显示管。
紧急模式模块:交通路口出现紧急状况在所难免,如特大事件发生,救护车等急行车通过等,我们都必须尽量允许其畅通无阻,毕竟在这种情况下是分秒必争的,时时刻刻关系着公共财产安全,个人生死攸关等。
由此在交通控制中增设禁停按键,就可达到此目的。
二、软件设计1.设计思路:本系统以单片机为核心,由状态灯,LED显示,按键等组成。
其中P0用于送显两片LED数码管,P1、P2、P3用于控制红绿黄发光二极管,采用自带晶振时钟电路,REST引脚接上复位电路,P3.1接紧急模式设置键,P3.2、P3.3、P3.4用于调解预置交通灯时间。
设计红绿灯的实验报告1. 引言红绿灯是城市交通中非常重要的交通信号控制设备之一。
它通过红、黄、绿三种颜色灯光的不同组合,指挥车辆和行人在道路上的行进。
本实验旨在设计一个基本的红绿灯系统,并通过控制设备和电路来实现红绿灯的交替显示。
2. 实验方法2.1 材料准备- Arduino控制板- 红绿灯模块- 面包板及杜邦线- 电源线- 电阻、电容等元器件2.2 硬件连接首先,将Arduino控制板通过杜邦线与电脑连接,然后将红绿灯模块连接到控制板上的数字输出引脚。
具体的硬件连接方式如下:- 红灯接口:连接到Arduino控制板的数字输出引脚13- 黄灯接口:连接到Arduino控制板的数字输出引脚12- 绿灯接口:连接到Arduino控制板的数字输出引脚112.3 软件编程使用Arduino开发环境进行编程,编写代码实现红绿灯的交替显示。
代码应包括以下步骤:1. 设置引脚模式:将数字引脚13、12、11设置为输出模式。
2. 控制红灯亮起:将数字引脚13输出高电平,使红灯点亮。
3. 控制黄灯熄灭:将数字引脚12输出低电平,使黄灯熄灭。
4. 控制绿灯熄灭:将数字引脚11输出低电平,使绿灯熄灭。
5. 控制红灯熄灭:将数字引脚13输出低电平,使红灯熄灭。
6. 控制黄灯亮起:将数字引脚12输出高电平,使黄灯点亮。
7. 控制绿灯熄灭:将数字引脚11输出低电平,使绿灯熄灭。
8. 控制红灯熄灭:将数字引脚13输出低电平,使红灯熄灭。
9. 控制黄灯熄灭:将数字引脚12输出低电平,使黄灯熄灭。
10. 控制绿灯亮起:将数字引脚11输出高电平,使绿灯点亮。
3. 实验结果与分析在完成硬件连接和编写代码后,将程序上传到Arduino控制板上。
经过实验,观察到在运行程序的过程中,红、黄、绿三种颜色的灯光按照交通信号灯的规律进行交替显示,实现了红绿灯的基本功能。
4. 实验总结通过本次实验,我们成功设计出了一个基本的红绿灯系统,并通过控制设备和电路实现了红绿灯的交替显示。
天津**大学有问题可以企鹅号1366715589 毕业设计(论文)题目:交通灯控制系统设计姓名学院电子与信息工程学院专业电子信息工程指导教师职称副教授2014 年5月10 日摘要随着科技与经济的飞速发展,城市机动车辆与日俱增,城市交通问题越来越引起人们的关注,人、车、路之间的关系的协调成为必须面临及解决的重要问题。
城市交通控制系统是城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。
然而,随着城市交通量的迅速增长和高速道路的系统研究和控制的缺乏,有些道路出现出现超负荷运行的情况。
因此,如何采用合适的交通控制方法,最大限度利用修建的城市道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况。
为此,进行了深入的研究,在车辆通行繁忙的十字路口要实现有条不紊的行车秩序,这就要采用有效的交通信号灯控制系统。
交通信号灯控制方式很多。
本系统采用 51系列单片机STC89C52和可编程并行I/O接口芯片89C52为中心器件来设计交通灯控制器,实现了十字路口红黄绿灯交替运行,并对放行和禁行时间进行倒计时显示,本系统实用性强、操作简单、扩展功能强。
关键词:单片机;STC89C52;红绿灯AbstractWith the rapid development of science and economy, city motor vehicles increasing, city traffic problems caused by more and more people's attention, coordinate the relationship between human, vehicle, road is a must to face and solve important problems.City traffic control system is a city traffic data monitoring, traffic signal control and traffic management computer system, it is the most important part of the modern city traffic control system. However, with the rapid growth of city traffic and high speed road and control, some roads appear overload situation. Therefore, how to use the appropriate method of traffic control, the maximum utilization of city road construction, ease traffic jams situation with the surrounding area of the main road and the ramp, the city. Therefore, in-depth study,In vehicle traffic busy crossroads traffic order to achieve everything in good order and well arranged, this will be the traffic signal lamp control system. A lot of traffic signal control. The system adopts 51 Series MCU STC89C51 and programmable parallel I/O interface chip 89C52 centric devices to design the traffic light controller, realized the crossroads red yellow green light alternately, and the release and the forbidden time countdown display, the system practical, simple operation, strong function expansion.Keyword: MCU; STC89C52; traffic lights目录第一章绪论 (1)1.1交通灯的背景与设计意义 (1)1.1.1交通灯的背景 (1)1.1.2设计意义 (1)1.2设计的目的 (1)1.3交通灯国内外发展现状 (2)1.4交通灯的功能与作用 (2)第二章系统总体方案设计 (4)2.1交通灯控制系统设计方案 (4)2.2交通灯控制系统的功能描述 (5)2.2.1倒计时显示 (5)2.2.2车流量的检测与调整 (6)2.3交通灯控制系统的基本构成及原理 (6)第三章系统硬件设计 (8)3.1单片机简介及其外围电路 (8)3.1.1单片机型号选择及简介 (8)3.1.2单片机最小系统电路 (10)3.2系统硬件电路构成 (11)3.3系统工作原理及电路图 (12)3.4信号灯显示模块 (13)3.5倒计时显示模块 (14)3.6车流量检测控制模块 (16)第四章软件设计 (17)4.1主程序流程图 (17)4.2红绿黄灯相互转换流程图 (20)4.3车流量检测流程图 (23)5.1红绿黄交通灯控制调试 (25)5.2车流量检测调试 (25)5.3STC89C52调试及性能分析 (25)5.4分析总结 (26)结论 (27)参考文献 (28)附录 (29)谢辞 (58)第一章绪论1.1交通灯的背景与设计意义1.1.1交通灯的背景随着科技的不断进步和各种交通工具的迅速发展,为了使交通指挥的更加有序的运行需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。
课程设计任务书1.设计目的:通过课程设计掌握PLC程序的设计方法。
2.设计要求:通过对设计题目的分析,设计出合理的PLC程序,并通过实验设备将其实现。
3.设计题目:(必选题目)1.十字路口交通灯的设计,按下图设计出十字路口交通灯控制程序。
时系统停止运行,并能够重复使用。
2.复杂抢答器的设计有三组参赛者参加智力抢答,赛场设有主持人所在的总台和参赛者所在的分台,总台设有台灯及总台音响,分台设有分台台灯及分台抢答按钮。
要求:(1)抢答在主持人给出题目宣布开始并按下开始按钮的10秒内进行,如果参赛者提前抢答,总台及分台灯亮,总台音响发声,表示“违例”。
(2)如果抢答开始后10s内无人抢答,总台灯亮同时总台音响发声表示抢答时间到,该题作废。
(3)正常抢答时,分台灯亮,总台音响发声。
抢得者答题时必须在20秒内答完,20秒到时,总台灯亮同时总台音响发声表示答题超时。
(4)一个题目终了时,按下总台复位按钮,抢答器恢复原始状态,为下一轮抢答做准备。
(注:总台音响发声时间为1秒,不持续发声)(可选题目)1.音乐喷水池的设计本题目主要利用PLC模拟完成音乐喷水池的喷水过程。
(具体图例参考实验台实验模块图形)控制要求:(1)按下启动按钮,主电机Q0.0上电音乐控制上电开始工作(2)主电机工作500ms后停止工作,Q0.1-Q0.7开始间隔500ms轮流工作(3)当Q0.0至Q0.7一个循环后同时一起输出1秒后停止1秒再同时输出。
(4)当完成一个大循环以后回到单独主电机Q0.0上电,开始新的循环。
要求利用PLC完成整套的控制系统的设计。
2.洗衣机自动控制(具体图例参考实验台实验模块图形)本实验利用5个灯来演示系统的工作状态。
其中L3、L4表示电磁阀,L0、L1、L2表示电机。
控制要求:(1)首先按下启动键,系统开始运行;进水阀L3灯亮,水注入洗衣机。
(2)按下上限开关,进水阀关闭,L3灯灭。
洗衣机桶转动开始洗衣,L0、L1间隔两秒交替闪烁,表示洗衣桶左、右转动。
《可编程控制器技术》课程设计题目:交通信号灯控制设计学院:信息工程与自动化系:通信专业:通信工程年级:姓名:学号:分数:一、需求分析1.1需求分析交通灯通常指由红、黄、绿三种颜色灯组成用来指挥交通的信号灯。
绿灯亮时,准许车辆通行,黄灯亮时,已越过停止线的车辆可以继续通行;红灯亮时,禁止车辆通行。
在如今的社会里,交通灯必不可少,没有交通灯就没有交通秩序,因此此次课程设计设计一个传统的交通灯。
1.2 实现目标红灯亮时,禁止车辆通行,绿灯亮时,准许车辆通行,黄灯亮时,已越过停止线的车辆可以继续通行,没有越过停止线的的需要停车,禁止通行。
红灯亮30秒,绿灯28秒,黄灯2秒。
二、系统设计2.1 流程图及分析2秒后后分析:启动电源后,东西红灯亮(r1),南北绿灯亮(g2);28秒后,东西红灯亮(r1),南北黄灯亮(y2);2秒后,南北红灯亮(r2),东西绿灯亮(g1);28秒后,南北黄灯亮(r2),东西黄灯亮(y1);循环。
2.2 时序图及分析东西向红灯黄灯绿灯南北向 红灯黄灯绿灯0 6 12 18 24 2830 36 42 4854 58 60分析:高电平为灯亮,低电平为灯灭。
前30秒内:东西方向红灯为高电平,南北方向绿灯为高电平,28秒后,南北方向黄灯由低电平转为高电平保持2秒,绿灯由高电平转为低电平;后30秒, 南北方向红灯为高电平,东西方向绿灯为高电平,28秒后, 东西方向黄灯由低电平转为高电平保持2秒,绿灯由高电平转为低电平。
此过程为一个周期。
2.3 接线图及分析分析:在接线时,程控端的公共端接5V 电源的负极,红绿灯的公共端接电源的正极,而红绿灯灯的另一端接程控器的输出端。
2.4 梯形图及分析分析:启动电源后,东西红灯亮(r1),南北绿灯亮(g2);28秒后,东西红灯继续亮(r1),南北绿灯灭(g2),南北黄灯亮(y2);2秒后,东西红灯灭(r1) ,南北红灯亮(r2),东西绿灯亮(g1);28秒后,南北红灯继续亮(r2),东西绿灯灭(g2),东西黄灯亮(y1);东西、南北方向一直保持循环状态。
武汉纺织大学
电子设计竞赛
——交通信号灯自动控制系统设计报告
学院:电子与电气工程学院
班级:电子093班
成员:
2011年5月1日
目录
交通信号灯自动控制系统设计概要 (1)
第一部分:系统方案 (1)
第二部分:电路与程序设计 (4)
第三部分:测试方案与测试结果 (6)
参考文献 (6)
附录一主程序流程图 (7)
附录二元器件清单 (8)
附录三程序清单 (9)
附录四仿真电路图………………………………………………
交通信号灯自动控制系统设计概要
一、设计要求要求
1.系统基本功能要求
(1)以秒为计时单位,两位数码管以十进制递减计数形式作定时显示,在递减计数回零瞬间完成换灯操作。
(2)通过键盘红、黄、绿三色信号灯所亮时间在0~99秒内任意设定。
(3)十字路口的通行起始状态可人工设定,运行中可通过人工干预使十字路口通行状况固定于任何一种工作模式。
(4)具有时间控制功能,交通信号灯工作时间:05:00~23:00;其余时间两个干道上的黄色信号灯闪烁显示;
(4)绿色信号灯倒计时最后3秒和黄色信号灯显示时闪烁显示。
(闪烁频率:1Hz);
二、设计思路
为了完成本题目的设计要求,即倒计时及时间控制功能,采用单片机内部定时器进行时间的控制,为了实现调整工作倒计时时间、系统工作时间及工作状态,采用5个键盘分别对上面内容进行设置。
交通信号采用发光二极管,排布参照下面布局,数码管显示倒计时时间及系统时间。