十字路口智能交通灯的设计说明
- 格式:doc
- 大小:255.50 KB
- 文档页数:33
十字路口交通灯控制系统的设计1.设计思路近年来,随着科技的飞速发展,电子器件也随之广泛应用,其中单片机也不断深入人民的生活当中。
本模拟交通灯系统利用单片机AT89C51作为核心元件,实现了通过信号灯对路面状况的智能控制。
从一定程度上解决了交通路口堵塞、车辆停车等待时间不合理、急车强通等问题。
系统具有结构简单、可靠性高、成本低、实时性好、安装维护方便等优点,有广泛的应用前景。
本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。
和复位电路控制电路等组成,较好的模拟了交通路面的控制。
1.1 电源提供方案采用单片机控制模块提供电源。
1.2显示界面方案采用数码管显示。
这种方案只显示有限的符号和数码字符,简单,方便。
1.3 输入方案:直接在I/O口线上接上按键开关。
由于该系统对于交通灯及数码管的控制,只用单片机本身的I/O 口就可实现,且本身的计数器及RAM已经够用,故选择该方案。
2 单片机交通控制系统总体设计2.1单片机交通控制系统的通行方案设计设在十字路口,分为东西向和南北向,在任一时刻只有一个方向通行,另一方向禁行,持续一定时间,经过短暂的过渡时间,将通行禁行方向对换。
一共可以有四个状态。
通过具体的路口交通灯状态的分析我们可以把这四个状态归纳如下:(1)东西方向红灯灭,同时绿灯亮,南北方向黄灯灭,同时红灯亮,倒计时80秒。
此状态下,东西向禁止通行,南北向允许通行。
(2)东西方向绿灯灭,同时黄灯亮,南北方向红灯亮,倒计时3秒。
此状态下,除了已经正在通行中的其他所以车辆都需等待状态转换。
(3)南北方向红灯灭,同时绿灯亮,东西方向黄灯灭,同时红灯亮,倒计时60秒。
此状态下,东西向允许通行,南北向禁止通行。
(4)南北方向绿灯灭,同时黄灯亮,东西方向红灯亮,倒计时3秒。
此状态下,除了已经正在通行中的其他所以车辆都需等待状态转换。
用图表表示灯状态和行止状态的关系如下:表1交通状态及红绿灯状态灯禁止通行,转绿灯允许通行,之后黄灯亮警告行止状态将变换。
基于PLC十字路口交通灯的控制系统的设计智能化交通管理的新篇章随着城市化进程的加快,交通拥堵问题日益严重,给人们的出行带来了极大的不便。
为了解决这一问题,基于PLC(可编程逻辑控制器)的十字路口交通灯控制系统应运而生。
本文将详细介绍基于PLC十字路口交通灯控制系统的设计原理、方法和实际应用,以期为智能化交通管理提供有益的参考。
首先,我们需要了解PLC的基本概念。
PLC是一种可编程逻辑控制器,具有高度可靠性、灵活性和可扩展性。
它可以根据用户的编程逻辑对输入信号进行处理,并输出控制信号,实现对设备的自动控制。
在十字路口交通灯控制系统中,PLC可以实现对交通灯的精确控制,提高交通流的效率。
基于PLC十字路口交通灯控制系统的设计主要包括以下几个方面:1. 系统硬件设计:硬件设计是PLC控制系统的基础。
在硬件设计中,需要选择合适的PLC型号、输入输出模块、电源模块等,以满足系统的功能和性能要求。
此外,还需要考虑系统的抗干扰能力,确保在复杂的电磁环境中稳定工作。
2. 系统软件设计:软件设计是PLC控制系统的核心。
在软件设计中,需要编写PLC的梯形图程序,实现对交通灯的控制逻辑。
梯形图程序应能够根据输入信号的变化,自动调整交通灯的亮灭状态,实现交通流的优化。
3. 系统集成与调试:系统集成是将PLC控制系统与其他交通设施(如交通信号灯、摄像头等)相结合的过程。
在系统集成中,需要确保PLC控制系统与其他设施的正常通信和数据交换。
调试则是确保PLC控制系统按照预期工作,包括功能测试、性能测试等。
在实际应用中,基于PLC十字路口交通灯控制系统具有以下优势:1. 高度可靠性:PLC具有高度可靠性,能够在恶劣的环境下稳定工作,确保交通灯控制系统的正常运行。
2. 灵活性:PLC控制系统易于编程和修改,可以根据实际交通需求调整交通灯的控制策略。
3. 可扩展性:PLC控制系统具有良好的可扩展性,可以随时增加或减少控制功能,适应不断变化的交通需求。
基于PLC的十字路口智能交通灯控制系统的设计城市道路交错分布,交通灯是城市交通的重要指挥系统。
交通信号灯作为管制交通流量、提高道路通行能力的有效手段,对减少交通事故有明显效果。
可编程控制器PLC作为工业用的计算机,在工业自动化中的地位极为重要。
其具有小型化、价格低、可靠性高等特点,在各个行业也得到了广泛应用。
本文基于PLC的十字路口智能交通灯控制系统,构成十字路口带倒计时显示交通信号灯的电气控制以及该系统软、硬件设计方法。
实验证明该系统实现简单、经济,能够有效地疏导交通,提高交通路口的通行能力。
1、设计系统简介系统上电后,交通指挥信号控制系统由两个按钮控制。
启动按钮按下,交通指挥系统开始按常规正常控制功能工作,按照如图1所示的工作时序周而复始、循环往复工作。
南北绿灯亮25s闪3s,黄灯亮2s后南北红灯亮30s。
东西方向与南北方向相同。
正常运行时,南北向及东西向均有两位数码管倒计时显示牌同时显示相应的指示灯剩余时间值。
系统主要实现十字路口交通灯数码显示控制和显示时间智能调节两大功能。
图1十字路口交通灯正常工作时序2、硬件系统设计2.1、元器件选用FX系列PLC拥有无以企及的速度、高级的功能逻辑选件以及定位控制等特点。
FX2N 系列是三菱PLC的FX家族中最先进的系列,具有高速处理及可扩展大量满足单个需要的特殊功能模块等特点;FX2N是从16路到256路输入/输出的多种应用的选择方案。
这里选用的是FX2N-80MR-D基本单元,带40点输入/40点继电器输出,选用额定电压12V、额定电流25mA(每段)高亮的共阴极两位25.4cm七段数码管;供电直接使用DC12V/25mA电源供电。
选用直径200mm的圆形LED点阵,左边红、绿、黄灯额定电压DC12V,额定电流4.2A,额定功率50W,直接采用DC12V/4.2A电源供电。
各控制信号说明如表1所示。
SB2按下时,接点断开,停止工作。
按下SB3时,七段数码管显示“00”。
一个十字路口的交通灯控制系统设计报告设计目标:1.安全性:确保交通流畅且安全,减少交通事故的发生。
2.效率性:提高交通流量,减少交通拥堵。
3.能源效率性:最大限度地利用交通信号灯的能源,降低能源的浪费。
设计原则:1.灵活性:能够根据交通流量和实时情况调整信号灯的时序。
2.自动化:通过传感器和算法实现自动控制,减少人为干预的依赖。
3.可扩展性:能够方便地增加或减少交叉口的信号灯控制单元。
4.可靠性:确保系统能够长时间稳定运行,减少故障发生的可能性。
5.经济性:设计成本较低,并考虑到未来维护和更新的成本。
系统设计:1.传感器:安装在交叉口附近的传感器,如压力传感器和红外线传感器,用于检测交通流量和车辆的位置。
2.控制单元:使用微控制器或PLC作为交通灯控制单元,接收传感器的数据,并根据预设的算法调整信号灯的时序。
3.信号灯:交叉口设置适当数量的红绿灯,通过控制单元来切换信号灯的状态。
4.网络连接:将交叉口的控制单元连接到互联网,以实现远程监控和管理。
工作原理:1.传感器检测到交通流量和车辆位置的变化。
2.传感器将数据传输给控制单元。
3.控制单元根据预设的算法分析传感器数据,确定相应的时序。
4.控制单元根据时序控制信号灯的状态,并将控制信号发送给信号灯。
5.信号灯根据控制单元的信号进行状态转换。
6.控制单元可通过网络连接进行远程监控和管理,以便及时调整交通流量控制。
总结:一个十字路口的交通灯控制系统需要从安全性、效率性和能源效率性等角度来设计。
通过传感器和控制单元实现自动控制,确保交通流畅且安全,并降低能源浪费。
系统设计需要考虑灵活性、自动化、可扩展性、可靠性和经济性等原则,并通过网络连接实现远程监控和管理。
一个十字路口的交通灯控制系统设计报告设计报告
一、设计目的
设计每个方向的交通灯控制系统,以解决车辆拥堵的问题,并尽可能
减少事故的发生。
二、原理和要求
1.交通灯控制系统的目标是调整车辆的流量,从而避免拥堵和事故
的发生。
2.根据路口的布局,设计一个控制系统,使各方向的车辆可以有序
通过路口。
3.控制系统需要包括时间策略、车辆流量控制以及实时变更等组件。
4.控制系统的运行稳定性,准确性,可靠性等特性也是需要考虑的。
三、相关技术
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
对灯光变化的时间策略进行设计。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置数据,进行实时的车辆流量控制。
3.实时变更:采用实时数据采集技术,监视路口的变化,对路口的
灯光策略进行实时变更,以保证路口的安全性和流量的正常状态。
四、系统设计
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
设计灯光变化的时间策略,实现路口灯的有序变化,调控车辆的通行流量。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置信息。
设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。
要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。
然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。
依次重复。
有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。
问题补充:我是要做课程设计,只要有程序就行最佳答案---------------------------交通灯控制器设计?-------led显示规律:东西方向绿灯,而南北方向红灯---------------------------——东西方向绿灯灭,黄灯亮,南北方向仍然红灯---------------------------——//南北方向绿灯,而东西方向红灯。
// library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jiaotongLED isgeneric(-----------------------------------定义灯亮的时间east_green_cnt:integer:=40;------------东西方向主干道绿灯east_yellow_cnt:integer:=5;------------东西方向主干道黄灯south_green_cnt:integer:=40;-----------南北方向支干道绿灯south_yellow_cnt:integer:=5;-----------南北方向支干道黄灯exi_cnt:integer:=120);-----------------紧急车辆通行时间port(clk:in std_logic;rst:in std_logic;----------------------复位信号exi_sign:in std_logic;-----------------紧急车辆信号east_green_led:out std_logic;east_yellow_led:out std_logic;east_red_led:out std_logic;south_green_led:out std_logic;south_yellow_led:out std_logic;south_red_led:out std_logic);end jiaotongLED;------------------------------------architecture ex of jiaotongLED istype states is(s0,s1,s2,s3,s4);signal state1:states:=s0;signal state:states:=s0;signal cnt:integer range 0 to 150;signal save_cnt:integer;signal enable_cnt:std_logic:='0';begin-----------------------------------------u1:process(rst,clk)-----------------------------信号灯的时间状态的转换beginif rst='1' then-----------------------------判断是否按下复位state<=s0;cnt<=1;elsif clk'event and clk='1' thenif enable_cnt='1' thencnt<=cnt+1;elsecnt<=1;end if;case state iswhen s0=>if exi_sign='1' then----------------判断是否紧急车辆save_cnt<=cnt;state1<=s0;state<=s4;elsif(cnt=east_green_cnt)thenstate<=s1;elsestate<=s0;end if;when s1=>if exi_sign='1' thensave_cnt<=cnt;state1<=s1;state<=s4;elsif(cnt=east_yellow_cnt)thenstate<=s2;elsestate<=s1;end if;when s2=>if exi_sign='1' thensave_cnt<=cnt;state1<=s2;state<=s4;elsif(cnt=south_green_cnt)thenstate<=s3;elsestate<=s2;end if;when s3=>if exi_sign='1' thensave_cnt<=cnt;state1<=s3;state<=s4;elsif(cnt=south_yellow_cnt)thenstate<=s0;elsestate<=s3;end if;when s4=>if(cnt=exi_cnt)thencnt<=save_cnt;state<=state1;end if;end case;end if;end process u1;---------------------------------------------------u2:process(state)--------------------------------------信号灯的状态显示begincase state iswhen s0=>east_green_led<='1';east_yellow_led<='0';east_red_led<='0';south_green_led<='0';south_yellow_led<='0';south_red_led<='1';enable_cnt<='1';if(cnt=east_green_cnt)thenenable_cnt<='0';-----------------------已达到东西方向绿灯亮时间,暂停计数end if;when s1=>east_green_led<='0';east_yellow_led<='1';east_red_led<='0';south_green_led<='0';south_yellow_led<='0';south_red_led<='1';enable_cnt<='1';if(cnt=east_yellow_cnt)then enable_cnt<='0';end if;when s2=>east_green_led<='0';east_yellow_led<='0';east_red_led<='1';south_green_led<='1';south_yellow_led<='0';south_red_led<='0';enable_cnt<='1';if(cnt=south_green_cnt)then enable_cnt<='0';end if;when s3=>east_green_led<='0';east_yellow_led<='0';east_red_led<='1';south_green_led<='0';south_yellow_led<='1';south_red_led<='0';enable_cnt<='1';if(cnt=south_yellow_cnt)then enable_cnt<='0';end if;when s4=>east_green_led<='0';east_yellow_led<='0';east_red_led<='1';south_green_led<='0';south_yellow_led<='0';south_red_led<='1';enable_cnt<='1';if(cnt=exi_cnt)thenenable_cnt<='0';end if;end case;end process u2;end ex;这个很简单的,程序应该是没有问题的,我运行过~~~如果还有问题可以+百度知道,vhdl的....。
plc十字路口红绿灯毕业设计毕业设计题目:PLC十字路口红绿灯控制系统摘要:随着城市交通的不断发展和人口的增长,十字路口的交通流量逐渐增大,交通事故也层出不穷。
为了提高交通效率和安全性,本设计提出了基于PLC的十字路口红绿灯控制系统。
该系统使用PLC作为控制核心,利用传感器感知车辆和行人的存在以及行驶方向,实现灵活精确的信号控制。
本文将详细介绍系统的设计原理、硬件实现和软件编程,并结合实际案例进行演示,以期为城市交通管理者和相关研究人员提供参考和指导。
1. 系统设计原理本设计采用基于PLC的红绿灯控制系统,通过传感器感知车辆和行人的存在情况,利用PLC芯片进行信号控制。
系统根据不同时间段、交通流量和行驶方向等信息,合理调配红绿灯的时间和灯光状态,以实现交通的高效与安全。
2. 硬件实现2.1 PLC选型选择适合交通信号控制的PLC芯片,具备较高的计算能力、稳定性和可靠性。
同时,考虑PLC的扩展性和接口需求,以适应不同规模和复杂度的交通路口。
2.2 传感器选择选择合适的传感器,如车辆探测器和行人探测器等,能够精确检测交通流量和行人动态。
利用传感器提供的信号,PLC可以根据实际情况进行动态调整,实现智能红绿灯控制。
2.3 红绿灯灯具选择符合道路交通管理标准的红绿灯灯具,并合理布局于十字路口各个方向。
同时,考虑灯光的亮度、可见性和节能性,以提高交通参与者对红绿灯信号的识别和理解。
3. 软件编程3.1 PLC编程语言选择根据PLC芯片的型号和软件的支持,选择适合的编程语言进行控制程序的开发。
常见的编程语言如LD(梯形图)、ST(结构化文本)、FBD(功能块图)等,需要根据实际情况选择合适的语言。
3.2 红绿灯控制逻辑结合十字路口的交通流量和行驶方向等信息,利用PLC编程语言编写控制逻辑。
根据车辆和行人的存在情况,自动切换不同方向的红绿灯信号,以保证交通的安全与顺畅。
4. 实际案例演示为了验证设计的有效性和可行性,本设计将在某一具体十字路口进行实地演示。
题目十字路口智能交通灯系别电气工程系专业电气自动化技术班级电气0802学号 08040224指导教师日期 2010年12月设计任务书设计题目:十字路口智能交通灯设计要求:1.在十字路口的两个方向上各设一组红绿黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、绿灯、黄灯。
2.设置一组数码管,以倒计时的方式显示允许通过或禁止通行的时间,其中左转灯、绿灯、黄灯、红灯的持续时间分别是15S、30S、3S、48S。
3.当各条路上常意一条出现特殊情况,例如消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁,当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。
设计进度要求:第一周: 选定设计题目,查找、搜集相关资料。
第二周:分析设计原理。
第三周:项目硬件设计。
第四周: 项目软件设计。
第五周: 利用实验台进行模拟仿真。
第六周:整理资料。
第七周:撰写毕业论文。
第八周:毕业答辩。
指导教师(签名):摘要近年来随着科技的飞速发展,单片机的应用正在不断深入。
在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。
交通信号灯控制方式很多。
本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。
本系统实用性强、操作简单、扩展功能强。
本设计是在熟练掌握单片机及其仿真系统使用方法基础上,综合应用单片机原理、微机原理等课程方面的知识,设计一个采用89S51单片机控制的交通灯控制电路。
该设计结合实际情况给出了一种简单低成本城市交通灯控制系统的硬件及软件设计方案、各个路口交通灯的状态循环显示,并对程序流程图进行详细讲解分析。
硬件的设计采用89S51单片机为核心器件。
并辅助复位电路,驱动电路,数码管及晶体管显示部分。
通过中断扩展实现交通灯系统特殊情况的转换。
利用74LS244作为断码驱动器,74LS07作为位码驱动,LED七断数码管作为计时显示用,用发光二极管指示交通的通行,用按键进行紧急事件的发生,使两个方向都亮红灯,绿灯亮通行,红灯亮停止通行。
本设计利用定时器进行定时,使定时器工作于方式一定时50ms,配合软件计数器,调用中断程序使定时器定时20次,达到定时1S的目的,同时调用显示程序,显示倒计时的时间,用单片机Intel89S51作为核心部件,8路74ls244总线驱动器作为字形驱动芯片和6路驱动74ls07位选码作为中心器件来设计交通灯控制器,实现了交通灯的控制,显示时间直接通过89S51的P0、P1口输出;交通灯信号通过P3口输出;按件通过p3口输入,本交通灯系统简单,实用性强,成本低,使用维护方便,软件功能强,运行稳定可靠等优点。
关键词:单片机,交通灯,位码,段码,显示目录摘要 (II)1 单片机的发展及应用 (1)1.1 单片机的发展 (1)1.2 单片机的应用 (1)2 芯片简介 (3)2.1 MSC-51芯片简介 (3)2.2 8255芯片简介 (6)2.3 74LS373简介 (7)3 系统硬件设计 (8)3.1交通管理的方案论证 (8)3.2系统硬件设计 (8)3.3 系统总框图 (9)3.4交通灯硬件线路图 (9)3.5 系统工作原理 (10)4 控制器的软件设计 (12)4.1 每秒钟的设定 (12)4.2 计数器初值计算 (12)4.3 计算公式 (12)4.4 1秒的方法 (12)4.5相应程序代码 (13)4.6 软件延时 (13)4.7 8051并行口的扩展 (14)4.8显示原理 (15)4.9 8255输出信号与数码管的连接 (15)4.10 8255与8051的连接 (16)5 程序设计 (17)5.1流程图如图5.1所示 (17)5.2 程序源代码 (18)6 系统调试 (25)结论 (26)致 (27)参考文献 (28)1 单片机的发展及应用1.1 单片机的发展单片微型计算机是微型计算机的一个重要分支,也是一种非常活跃和颇具有生命力的机种。
单片微型计算机简称单片机,特别适用于工业控制领域,因此又称为微控器。
1971年微处理器研制成功不久,就出现了单片微型计算机即单片机,但最早的单片机是1位的,处理能力有限。
单片机的发展分为4个阶段:第一阶段(1974—76年):单片机初级阶段。
因为受工艺限制,单片机采用单片的形式而且功能比较简单。
例如美国仙童公司生产的F8单片机,实际上只包括了8位CPU,64个字节的RAM和2个并行接口第二阶段(1976—78年):低性能单片机阶段。
以Intel公司生产的MCS——48系列单片机为代表,该系列单片机片集成有8位CPU,8位定时器/计数器,并行I/O接口,RAM和ROM等,但是最大的缺点就是无串行接口,中断处理比较简单而且片RAM和ROM容量较小,且寻址围不大于4KB。
第三阶段(1978—83)高性能单片阶段这个阶段推出的单片机普遍带有串行接口。
多级中断系统,16位定时器/计数器,片ROM,RAM容量加大,且寻址围可达64KB,有的片还带有A/D转换器。
第四阶段(1983年至今)8位单片机巩固发展以及16位单片机,32 位单片机推出阶段。
此阶段的主要特征是:一方面发展16位单片机,32位单片机及专用型单片机;另一方面不断完善高档8位单片机,改善其结构,增加片器件,以满足不同的客户要求。
1.2 单片机的应用单片机的应用很广,分别在以下领域中得到了广泛的应用。
工业自动化:在自动化技术中,无论是过程控制技术、数据采集技术还是测控技术,都离不开单片机。
在工业自动化的领域中,机电一体化技术将发挥愈来愈重要的作用,在这种机械、微电子和计算机技术为一体的综合技术(例如机器人技术、数控技术)中,单片机将发挥非常重要的作用特别是近些年来,随着计算机技术的发展,工业自动化也发展到了一个新的高度,出现了无人工厂、机器人作业、网络化工厂等,不仅将人从繁重、重复和危险的工业现场解放出来,还大大提高了生产效率,降低了生产成本。
仪器仪表:目前对仪器仪表的自动化和智能化要求越来越高。
在自动化测量仪器中,单片机应用十分普及。
单片机的使用有助于提高仪器仪表的精度和准确度,简化结构,减小体积,易于携带和使用,加速仪器仪表向数字化、智能化和多功能化方向发展。
消费类电子产品:该应用主要反映在家电领域。
目前家电产品的一个重要发展趋势是不断提高其智能化程度。
例如,电子游戏、照相机、洗衣机、电冰箱、空调、电视机、微波炉、手机、IC卡、汽车电子设备等。
在这些设备中使用了单片机后,其功能和性能大大提高,并实现了智能化、最优化控制信方面:较高档的单片机都具有通信接口,因而为单片机在通信设备中的应用创造了很好的条件。
例如,在微波通信、短波通信、载波通信、光纤通信、程控交换等通信设备和仪器中都能找到单片机的应用。
武器装备:在现代化的武器装备中,如飞机、军舰、坦克、导单、鱼雷制导、智能武器设备、航天飞机导航系统,都有单片机在其中发挥重要作用。
终端及外部设备控制:计算机网络终端设备,如银行终端,以及计算机外部设备如打印机、硬盘驱动器、绘图机、传真机、复印机等,在这些设备中都使用了单片机。
近年来随着科技的飞速发展,同时带动自动控制系统日新月异更新,单片机的应用正在不断地走向深入。
2 芯片简介2.1 MSC-51芯片简介MCS-51单片机部结构8051是MCS-51系列单片机的典型产品,我们以这一代表性的机型进行系统的讲解。
8051单片机包含中央处理器、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等几大单元及数据总线、地址总线和控制总线等三大总线,现在我们分别加以说明:1、中央处理器:中央处理器(CPU)是整个单片机的核心部件,是8位数据宽度的处理器,能处理8位二进制数据或代码,CPU负责控制、指挥和调度整个单元系统协调的工作,完成运算和控制输入输出功能等操作。
2、数据存储器(RAM)8051部有128个8位用户数据存储单元和128个专用寄存器单元,它们是统一编址的,专用寄存器只能用于存放控制指令数据,用户只能访问,而不能用于存放用户数据,所以,用户能使用的RAM只有128个,可存放读写的数据,运算的中间结果或用户定义的字型表。
图2.1 8051结构图3、程序存储器(ROM):8051共有4096个8位掩膜ROM,用于存放用户程序,原始数据或表格。
4、定时/计数器(ROM):8051有两个16位的可编程定时/计数器,以实现定时或计数产生中断用于控制程序转向。
5、并行输入输出(I/O)口:8051共有4组8位I/O口(P0、P1、P2或P3),用于对外部数据的传输。
6、全双工串行口:8051置一个全双工串行通信口,用于与其它设备间的串行数据传送,该串行口既可以用作异步通信收发器,也可以当同步移位器使用。
7、中断系统8051具备较完善的中断功能,有两个外中断、两个定时/计数器中断和一个串行中断,可满足不同的控制要求,并具有2级的优先级别选择。
8、时钟电路8051置最高频率达12MHz的时钟电路,用于产生整个单片机运行的脉冲时序,但8051单片机需外置振荡电容。
MCS-51系列单片机的部结构如图2.2所示。
图2.2 MCS-51部结构示意图MCS-51的引脚说明:MCS-51系列单片机中的8031、8051及8751均采用40Pin封装的双列直接DIP 结构,40个引脚中,正电源和地线两根,外置石英振荡器的时钟线两根,4组8位共32个I/O口,中断口线与P3口线复用。
现在我们对这些引脚的功能加以说明:如图2.3所示:图2.3 管脚排列Pin9:RESET/V pd复位信号复用脚,当8051通电,时钟电路开始工作,在RESET 引脚上出现24个时钟周期以上的高电平,系统即初始复位。
初始化后,程序计数器PC指向0000H,P0-P3输出口全部为高电平,堆栈指针写入07H,其它专用寄存器被清“0”。
RESET由高电平下降为低电平后,系统即从0000H地址开始执行程序。
然而,初始复位不改变RAM(包括工作寄存器R0-R7)的状态,8051的初始态。
8051的复位方式可以是自动复位,也可以是手动复位,见下图2.4。
此外,RESET/V pd还是一复用脚,V cc掉电其间,此脚可接上备用电源,以保证单片机部RAM的数据不丢失。