燕山大学EDA课程设计电子日历word文档

  • 格式:doc
  • 大小:150.00 KB
  • 文档页数:9

下载文档原格式

  / 8
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一、设计题目及要求

1.题目:电子日历

2.要求:

(1).能显示年、月、日,星期;

(2).例如:“01.11.08 6”,星期日显示“8”;

(3).年月日,星期可调;

(4).不考虑闰年。

二、设计过程及内容(包括○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)

为实现本电路得功能,采取模块电路设计方法,本电路系统主要包括以下三三

大模块:.

1: 电子日历记数模块

2: 中间控制模块

3: 译码器显示模块

由于不同的月份,决定了不同的天数,因此须设计反馈电路,协调月日的关系,

通过不同的月选择相应的天数:比如二月二十八天,十二月三十一天,……..这

是利用真值表列出逻辑表达式,从而画出电路图如图1:

仿真图如下:

设计过程:

1、电子日历记数模块

1)、实现星期计时:

为实现星期计时模块,计到星期日时,显示“8”,采用一般的计数器难以实现,即可通过四个jk触发器设计而成。其电路图如下:

仿真图如下:

2)、实现天数计时:

由于不同的月份,决定了不同的天数,因此须设计三个独立完成计数的计数器电路,如日计数器周期性的(28,30或31)向月计数器进位调月日的关系,即通过三个选择端(c28,c30,c31),同一时刻只能有一个有效,由其中的任一个有效端来控制相应日计数器。其电路原理图

3)、实现月份及年份计时:

由用两个74160采用整体同步置数分别构成100进制和12进制计数器,分别完成年,月的计数功能。然后将两者依次异步连接,每隔12个月,月计数器向年计数器进一位,从而实现年月的周期性计数。

月份计数器电路原理图如下:

年份计数器电路图如下:

仿真图如下:

2、中间控制模块

时钟脉冲经cp输入端引入,控制端有:K,Kweek,Kday,Kmonth,Kyear1,Kyear2当接入电源时,须按K按钮进行调整到某一时间即正常计时。按下K时,同时按其它的任一控制端,即可完成对相应的控制及调整。

电路原理图如下:

部分仿真图如下:

3、译码器显示模块

完成内部模块设计之后,需要显示出来,即用扫描电路将星期,年、月、日在显示管上显示出来。扫描电路由74160构成的八进制计数器,四个八选一数据选择器74151及7449构成,如图四所

整个电路图如下:

当接入电源时,须按clan 按钮一次使月份为1时,可计时及完成相关控制

调整功能。

三、设计结论(包括设计过程中出现的问题;对EDA课程设计感想、意见和建议)

这次EDA课程设计中遇到了很多问题,星期的计时问题,不是一个简单的7进制计数器就能实现的,后来通过真值表找出逻辑关系终于实现了。还有就是月份与天数的问题,因为不同的月份决定不同的天数,还需要月份给天数一个反馈,设计一个判断模块来判断天数。控制模块也想了

很长时间。