带移位运算模型机的设计与实现
- 格式:doc
- 大小:1.11 MB
- 文档页数:15
带移位基本模型计算机的设计-课程设计报告课程设计报告课程名称计算机组成原理课题名称带移位基本模型计算机的设计专业班级学号姓名指导教师2014年9 月14 日xxx工程学院课程设计任务书课程名称计算机组成原理课题带移位基本模型计算机的设计专业班级学生姓名学号指导老师审批任务书下达日期2014年9月3 日任务完成日期2014年9 月14 日一、设计内容与设计要求1.设计内容模型机是由五个部分组成的计算机,通过它可以理解计算机整机的结构及功能,理解CPU、存储器、中断控制器、总线的结构及实现逻辑和各部件之间的接口关系。
本次课程设计的主要内容是利用西安唐都公司的TDN-CM++的内部可编程资源,设计一个模型计算机。
本课程设计的主要目的是通过部件级的模型机的设计和调试,使学生理解计算机由5部分组成,掌握计算机的工作过程,从“指令—微指令—微操作”概念的理解,从而清晰地建立计算机的整机概念,并培养学生分析和解决实际问题的能力,同时增强学生的动手能力。
2.设计要求(1) 借助于TDN-CM++的内部可编程资源,运算器单元,控制存储器,微命令寄存器,地址转移逻辑,微地址寄存器,控制时序信号单元,寄存器组,总线,输入\输出单元等,用微程序的方式设计一台的模型计算机。
设计包括模型计算机组成的设计,指令系统的设计,并用汇编语言完成设计并调试成功。
(2) 基本模型机的设计要求(P98) 复习定义五条机器指令:IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下:助记符机器指令码说明IN () 0000 0000 “I NPUT DEVICE”→R0ADD addr 0001 0000 ××××R0+[addr] →R0STA addr 0010 0000 ××××R0→ [addr]OUT addr 0011 0000 ××××[addr] →LEDJMP addr 0100 0000 ××××addr→PC其中IN为单字长(8位),其余为双字长指令,××××××××为addr对应的二进制地址码。
实验报告时间:2010.5.26
带移位运算的模型机的设计与实现
一、目的要求
(1)熟悉用微程序控制器控制模型机的数据通路。
(2)学习设计与调试计算机的基本步骤及方法。
二、实验仪器与试剂
计算机组成原理实验箱。
三、实验原理
1、实验中所用的带移位运算模型机实验原理如图1所示。
图1 带移位运算模型机实验原理框图
四、实验步骤
1、写程序。
方法一:手动写入
①将机器指令对应的微代码正确写入2816中。
②使用控制台KWE和KRD进行机器指令的装入和检查。
方法二:联机读/写程序(略)
2、运行程序。
单步运行程序、连续运行。
五、实验现象、结果记录及整理
六、分析讨论与思考题解答(两个解答选择一个填写,也可以自己修改一下填写)
解答一:
程序运行前必须对微地址清零。
单步微指令运行程序,每按动一次单步运行,待运行结束后可观察到理论值与实际观察到的值相符。
同时也能够看到数据的流向。
解答二:
开始的时候,执行加法指令得不到预期的结果,原来是连线错了。
经过老师的帮助终于解决了问题。
经过这次实验了解了由微程序控制器控制模型机的数据通道,同时也学习了调试计算机的基本步骤和方法。
实验八带移位运算的模型机的设计与实现一实验目的(1) 熟悉用微程序控制器控制模型机的数据通路。
(2) 学习设计与调试计算机的基本步骤及方法。
二实验设备TDN-CM++计算机组成原理教学实验系统一台,排线若干。
三实验内容1) 实验原理本实验在基本模型机的基础上搭接移位控制电路,实现移位控制运算。
实验中新增4条移位运算指令:RL(左环移)、RLC(带进位左环移)、RR(右环移)、RRC(带进位右环移),其指令格式如下:操作码RR 01010000RRC 01100000RL 01110000RLC 10000000以上4条指令都为单字长(8位)。
RR为将R0寄存器中的内容循环右移1位。
RRC为将R0寄存器中的内容带进位右移1位,它将R0寄存器中的数据右边第1位移入进位,同时将进位寄存器的数移至R0寄存器的最左位。
RL为将R0寄存器中的数据循环左移1位。
RLC为将R0寄存器中的数据带进位循环左移1位。
为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还设计了3个控制台操作微程序。
存储器读操作(KRD):拨动总清开关CLR后,控制台开关SWB,SW A为“0 0”时,按START启动纽,可对RAM连续手动读操作。
存储器写操作(KWE):拨动总清开关CLR后,控制台开关SWB,SW A置为“0 1”时,按动START启动纽可对RAM进行连续手动写入。
启动程序:拨动总清开关CLR后,控制台开关SWB,SW A置为“1 1”时,按动启动键,即可转入到第01号“取址”微指令上述3条控制台指令用两个开关SWB,SW A的状态来设置,其定义如表6所示。
实验数据通路框图如图23所示(可忽略其中的R1和R2)。
本实验设计机器指令程序如下:地址(二进制) 内 容(二进制) 助记符 说 明00000000 00000000 IN “INPUT DEVICE” →R000000001 00010000 ADD [0DH] R0+[0DH] →R000000010 00001101 00000011 10000000 RLC00000100 00000000 IN “INPUT DEVICE” →R000000101 01100000 RRC 00000110 01110000 RL 00000111 00100000 STA [0EH] R0→[0EH]00001000 0000111000001001 00110000 OUT [0EH] [0EH]→LED00001010 0000111000001011 01000000 JMP 00H 00H →PC00001100 0000000000001101 01000000 自定图21 带移位运算模型机微程序流程图 C R0 C R0R000001110 存数单元将微代码流程图代码化,本实验给出将微程序二进制代码转化成十六进制格式文件。
计算机学院计算机科学与技术专业班_____组、学号310 姓名协作者___________ 教师评定_____________实验题目带移位运算模型机的设计与实现___________ 1.实验目的与要求:(1)熟悉由微程序控制器控制模型机的数据通道。
(2)掌握机器指令的使用和编程。
(3)学习设计与调试计算机的基本步骤及方法。
(4)记录程序运行过程的数据和结果,按记录填写答题框,完成练习操作题,观察程序的执行过程并记录运行结果。
2.实验方案:一、实验连线在实验仪上接好线后,仔细检查无误后可接通电源。
二、实验程序结合基本模型机所学的五条指令和本实验介绍的移位指令,编写的实验程序已存在磁盘里,文件名为EX2,该程序包括机器指令程序和微指令程序,在程序中地址和内容都是十六进制,机器指令的前4位操作码。
三、实验步骤与PC机联机将上面的实验程序(EX2)下载到实验仪里,该程序包括机器指令程序和微指令程序,机器指令程序装入6116存储器里,微指令程序装入E2PROM2816控制存储器里。
(1)实验程序下载操作步骤:1、开机后,启动Windows系统,双击桌面上的“组成原理实验”快捷图标,即可进入DVCC 组成原理实验系统主画面。
2、单击该系统第三行的打开图标,即可出现文件框,选择文件名EX2并打开之。
3、此时左边的编辑窗口“新文件”变为“EX2”,在该窗口里显示EX2的源文件,它包括机器指令程序和微指令程序的微代码,$P开头是机器指令程序,$M开头是微指令程序的微代码。
4、单击“调试”图标,把打开的源文件下载到实验仪里,在下载过程中屏幕下方出现“正在传送文件”的提示,当文件传送完毕,提示消失。
5、文件传送完毕,要检查源文件是否正确传送到实验仪RAM和ROM里,首先检查实验仪RAM的内容,单击“程序RAM”打开该窗口,查看此时显示的地址和内容与实验程序中机器指令的地址和内容是否一致,若不一致,重复4的操作,若一致,就单击菜单栏中“调试”菜单,然后选择并单击“刷新数据”或按“F5”热键对“程序RAM”窗口显示的程序进行刷新。
移位乘法器的设计一.设计要求。
乘法器的输入为两个四位二进制数a 和b ,闸门信号STB 启动乘法操作,时钟信号提供系统定时。
乘法结果为8位二进制信号RESULT, 乘法结束后置信号DONE 为1。
框图如下:二.算法思路如下:采用原码移位算法,即对两操作数进行逐位的移位相加,迭代四次后获得乘法结果。
1. 在被乘数和乘数的高位补0后扩展成8位。
2. 乘数依次向右移位,并检查其最低位,如果该位为1,则将被乘数与部分积的和相加,然后被乘数向左端移位;如果最低位为0,则仅仅对被乘数进行移位操作。
移位时,乘数的高端和被乘数的低端都移入0。
3. 当乘数变成全0后,乘法结束。
三. 模块划分和进程设计:把乘法器电路映射为控制器进程CONTROLLER、锁存移位进程SRA和SRB、加法进程ADDER以及锁存结果的进程ACC。
四. 移位乘法器的进程模块图五. 按照书本上的代码仿真后的波形如下:得出的是错误的结果。
经分析,可知道是由于在第三周期是shift 的值出现错误,才导致结果错误。
为此修改源代码。
如下(红色为修改的部分):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shift_mul isport (a,b :in std_logic_vector(3 downto 0);stb,clk :in std_logic;done :out std_logic;result :out std_logic_vector(7 downto 0));end shift_mul;architecture behav of shift_mul issignal init,shift,stop,add :std_logic;signal sraa,srbb,accout,addout:std_logic_vector(7 downto 0); begincontroller :processbeginwait until clk'event and clk='1' and stb='1';done<='0';init<='1';shift<='0';add<='0';result<="00000000";wait until clk'event and clk='1';init<='0';wait until clk'event and clk='1';wait until clk'event and clk='1';run_loop: while (stop/='1') loopwait until clk'event and clk='1';if sraa(0)='1' thenwait until clk'event and clk='1';add<='1';wait until clk'event and clk='1';add<='0';shift<='1';wait until clk'event and clk='1';elsewait until clk'event and clk='1';shift<='1';wait until clk'event and clk='1';end if;shift<='0';end loop run_loop;done<='1'; result<=accout;end process controller;sral:processbeginwait until clk'event and clk='1';if init='1'thensraa<="0000"&a;elsif shift='1'thensraa<='0'&sraa(7 downto 1);end if;stop<=not (sraa(3) or sraa(2) or sraa(1) or sraa(0) );end process sral;srar:processbeginwait until clk'event and clk='1';if init='1'thensrbb<="0000"&b;elsif shift='1'thensrbb<=srbb(6 downto 0)&'0';end if;end process srar;adder: process(accout,srbb)variable sum,tmp1,tmp2:std_logic_vector(7 downto 0);variable carry:std_logic;begintmp1:=accout;tmp2:=srbb;carry:='0';for I in 0 to 7 loopsum(I):=tmp1(I) xor tmp2(I) xor carry;carry:=(tmp1(I) and tmp2(I)) or (tmp1(I) and carry) or (tmp2(I) and carry);end loop;addout<=sum;end process adder;acc:processbeginwait until clk'event and clk='1';if init='1' thenaccout<=(others =>'0');elsif add='1' thenaccout<=addout;end if;end process acc;end behav;七。
广东白云学院课程设计课程设计题目学生学号:学生姓名:指导教师:设计成绩:2010年12月28日目录第一章绪论------------------------------------------------------------------------------------1 1.1 课程设计的目的-----------------------------------------------------------------------------------------1 1.2 课程设计内容--------------------------------------------------------------------------------------------1 1.3 关于西安唐都系统--------------------------------------------------------------------------------------1 第二章课程设计理论依据------------------------------------------------------------------2 2.1 带移位运算模型机的设计原理-----------------------------------------------------------------------2 2.2概述---------------------------------------------------------------------------------------------------------3 第三章课程设计方案------------------------------------------------------------153.1 确定课程设计总要求----------------------------------------------------------------------------------15 3.2 课程设计逻辑电路图设计----------------------------------------------------------------------------15 3.3 课程设计所需指令或程序设计----------------------------------------------------------------------16 3.4 设计执行流程图--------------------------------------------------------------------------------------18 3.5设计实现方法--------------------------------------------------------------24第四章课程设计调试与测试---------------------------------------------------------------31 4.1 调试程序步骤--------------------------------------------------------------------------------------------32 4.2 程序验证分析--------------------------------------------------------------------------------------------32 第五章结论---------------------------------------------------------------------------------33 参考文献----------------------------------------------------------------------------------------34第一章绪论1.1 课程设计的目的1.掌握系统各功能模块的基本工作原理;2. 培养单片机应用系统的设计能力;3. 熟练地应用电子线路CAD工具完成单片机系统的硬件设计任务;4. 进一步熟悉较完整的计算机的设计,全面了解并掌握微程序控制方式计算机的设计方法。
数字电路与逻辑设计实验三一、实验目的1.了解简易模型机的内部结构和工作原理。
2.分析模型机的功能,设计ALU 和移位逻辑。
3.分析模型机的工作原理,设计模型机控制信号产生逻辑。
二、实验内容1.用VHDL 语言设计模型机的ALU 模块;2.用VHDL 语言设计模型机的移位模块;3.用VHDL 语言设计模型机的控制信号产生逻辑。
第一部分:模型机的ALU 模块①实验方法1、实验方法采用基于FPGA进行数字逻辑电路设计的方法。
采用的软件工具是Quartus II。
2、实验步骤1、新建,编写源代码。
(1).选择保存项和芯片类型:【File】-【new project wizard】-【next】(设置文件路径+设置project name为ALU)-【next】(设置文件名ALU.vhd—在【add】)-【properties】(type=AHDL)-【next】(family=FLEX10K;name=EPF10K10TI144-4)-【next】-【finish】 (2).新建:【file】-【new】(第二个AHDL File)-【OK】2、根据题意,写好源代码并保存文件。
3、编译与调试。
确定源代码文件为当前工程文件,点击【processing】-【start compilation】进行文件编译,编译成功。
4、波形仿真及验证。
新建一个vector waveform file。
按照程序所述插入S3-S0、M、S、D、T、Cf、Zf十个节点(S3-S0、M、S、D为输入节点,T、Cf、Zf为输出节点)。
(操作为:右击 -【insert】-【insert node or bus】-【node finder】(pins=all;【list】)-【>>】-【ok】-【ok】)。
任意设置S3-S0、M、S、D的输入波形…点击保存按钮保存。
然后【start simulation】,得到输出图。
5、时序仿真或功能仿真。
YUY-C6JH计算机组成原理基础实验系统一、结构特点本系统由铝木合金箱体、开关电源、系统控制部件、开放式实验电路区等组成。
全部实验用信号线的连接采用排线,操作方便,接触可靠。
全部实验基于中小规模集成电路,资源完全向学生开放,可以完成“计算机组成原理”、“计算机结构与逻辑设计”、“电子系统综合设计”等课程的实验,本实验箱适用于本专科院校计算机专业和其它相关专业的相关课程的实验和创新设计。
二、性能特点1、硬件系统采用高性能单片机89S52和LATTICE公司CPLD器件的有机结合,使系统的硬件控制电路精简而可靠。
2、提供手动、自动和联机三种工作方式,满足不同层次实验的监视需要。
3、具有完善的系统检测电路和系统保护电路设计,使实验系统更易于维护和使用。
4、系统自备双通道逻辑示波器,便于实验过程中时序信号的测量。
5、系统和电脑采用RS232/USB通信方式。
6、实验系统采用总线结构,使实验计算机具有结构简单清晰、扩展方便、灵活易变等诸多优点,实验时只要少些接线即可。
7、专为本机配备的动态集成调试运行软件以图形化的界面显示模型机内部数据的流向和各种控制信号的状态以及时序关系。
三、技术指标1、实验电路工作电源:+5V/2A、±12V/0.5A,每路均带有短路保护和自动关断功能,每路带电源指示。
其中+5V电源设计有过压、过流、欠压保护功能,待电路中故障排除后,自动恢复供电,确保设备和人身安全。
2、实验系统的字长为8位、16位兼容设计。
3、实验系统的基本指令系统类PC机,有多种指令格式,多种寻址方式。
4、主存储器采用8K字节静态存储器6264,用于存放用户程序和数据。
5、配运算器模块,由4片4位的算术逻辑单元74LS181功能发生器级联而成。
同时配备1片74LS182,实现进位串并级联方法,可进行8位、16位运算器实验。
6、配备一个超前进位产生器74LS182。
7、控制器采用微程序方案实现,控存字长为24位,可用最大容量为1024字节,且用电可擦写的E2ROM存储器芯片组成,支持动态微程序设计。
实验五四位移位乘法器一、实验目的1. 学会用层次化设计方法进行逻辑设计;2. 设计一个八位乘法器。
二、实验原理1)乘法器工作原理:四位二进制乘法采用移位相加的方法。
即用乘数的各位数码, 从高位开始依次于被乘数相乘, 每相乘一次得到的积称为部分积, 将第一次得到的部分积左移一位并与第二次得到的部分积相加, 将加得的和左移一位再与第三次得到的部分积相加, 再将相加的结果左移一位与第四次得到的部分积相加,……直到所有的部分积都被加过一次。
最后的结果以十进制的形式通过三个数码管进行显示。
2)设计整体思路:主要分两大模块,乘法器模块和主模块。
第一步:乘法器通过一个function实现,该函数输出为八位二进制数的积;第二步:把八位二进制数转化为三位十进制数,分别为个位、十位、百位,由主模块实现。
第三步:依次选通三个数码管,让这三个数码管分别显示第二步中的个、十、百位,由主模块实现。
3)轮换显示工作原理:因为硬件对数码管的显示控制只有8个管口,所以同一时间只能控制一个数码管的显示。
我们利用视觉暂留的原理,采用一个时钟信号(除lhz以外均可)控制是三个数码管的依次轮换选通,可以达到三个数码管同时显示的视觉效果。
我们采用一个2位的二进制数的累加来选通数码管,同时让数码管显示个、时、百位。
三、思路流程图四、实验流程图注意:时钟clk 给1M Hz六、实验心得1、把八位二进制数转化为三位十进制数,分别为个位、十位、百位:result1=out/100; //求出百位 result3=out%10; //求出个位 result2=(out%100)/10; //求出十位 2、个位、十位、百位必须用三个变量来存储,不能用一个三位的变量来存储,因为要存储的是十进制数,而一个三位的变量中的某一位只能是0或者1,无法表示一个十进制数。
3、看了很多同学的代码后发现大家用了模块调用,在这里我没有用调用,用一个FOR 循环,实现了代码简单。
计算机组成原理课程设计报告题目:带移位运算的模型机的设计与实现专业班级:计算机0901班学号: 1204211072姓名:李密指导老师:朱勇时间: 2014年6月21日目录第一章绪论------------------------------------------------------------------------------------1 1.1 课程设计的目的-----------------------------------------------------------------------------------------1 1.2 课程设计内容--------------------------------------------------------------------------------------------1 第二章课程设计理论依据------------------------------------------------------------------2 2.1 带移位运算模型机的设计原理-----------------------------------------------------------------------2 2.2 概述---------------------------------------------------------------------------------------------------------3 第三章课程设计方案------------------------------------------------------------153.1 确定课程设计总要求----------------------------------------------------------------------------------15 3.2 课程设计所需指令或程序设计----------------------------------------------------------------------16 3.3 设计执行流程图--------------------------------------------------------------------------------------18 3.4 设计实现方法--------------------------------------------------------------24第四章课程设计调试与测试---------------------------------------------------------------31 4.1 调试程序步骤--------------------------------------------------------------------------------------------32 4.2 程序验证分析--------------------------------------------------------------------------------------------32 第五章结论---------------------------------------------------------------------------------33 参考文献----------------------------------------------------------------------------------------34第一章绪论1.1 课程设计的目的1、熟悉用微程序控制器控制模型机的数据通路。
2、学习设计与调试计算机的基本步骤及方法。
1.2 课程设计内容1.熟悉系统数据通路,包括原理框图与实验箱布置2.根据数据通路实现实验连线3.熟悉仿真软件的使用4.熟悉仿真软件支持的程序与微程序格式5.将ADD指令做适当的修改操作并调试通过6.对原理图进行相应的修改,实现带移位的运算的模型机。
第二章课程设计理论依据2.1带移位运算模型机的设计原理:移位运算实验原理如图所示,使用了一片74LS299 作为移位发生器,其八输入/输出端以排针方式和总线单元连接。
299-B 信号控制其使能端,T4 时序为其时钟脉冲,实验时将“W/R UNIT”中的T4 接至“STATE UNIT”中的KK2 单脉冲发生器,由S0 S1 M 控制信号控制其功能状态,其列表如下:2.2 概述本实验为基本模型机的基础上搭接移位控制电路,实现移位控制运算,原理图如上图所示。
实现移位控制运算。
故实验中新增4条移位运算指令:RL(左环移);RLC(带进位左环移);RR(右环移);RRC(带进位右环移),其指令格式为操作码RR 01010000RRC 01100000RL 01110000RLC 10000000以上4条指令都为单字长指令(8位)。
RR为将R0寄存器中的内容循环右移一位。
RRC为将R0寄存器中的内容带进位右移一位,它将R0寄存器中数据右边第一位移入进位,同时将进位寄存器的数移至R0寄存器的最左位。
RL为将R0寄存器中的数据循环左移一位。
RLC为将R0寄存器中的数据带进位循环左移一位。
同样,本实验为了向RAM中装入程序和数据,设置了三个控制台操作微程序,如下表所示。
第三章课程设计方案3.1 课程设计总要求(1)掌握计算机部件的开发和设计流程。
(2)分析所设计系统中各功能模块的工作原理;(3)选用合适的器件(芯片);(4)提出系统的设计方案;(5)对所设计电路进行调试,按要求编写课程设计报告书,能正确编写分析、设计、测试等技术文档。
3.2 课程设计的指令或程序设计 1.带移位运算的模型机的设计与实现指令(1)IN 输入 “INPUT DEVICE ”->R0, (2)ADD [03H] R0+[03H]->R0 , (3)RLC带进位左移(4)IN “INPUT DEVICE ”->R0, (5)RRC 带进位右移 (6)RL 左环移 (7)STA [0EH] R0->[0EH](8)OUT [0EH] [0EH] ->BUS (9)JMP [00H] 00H ->PC机器指令程序 微指令程序$P0000 $P0110 $P0216 $P0380 $P0400 $P0560 $P0670 $P0720 $P080E $P0930 $P0A0E $P0B40 $P0C00 $P1610 $M10011000 $M1183ED01 $M00088101 $M0182ED012.机器程序指令地址 内容助记符 说 明00000000 00000000 IN ; "输入开关量"→R00000000100010000ADD[0DH];R0[0DH]→R0 00000010000011010000001110000000RLC0000010000000000IN;"输入开关量"→R0 0000010101100000RRC0000011001110000RL0000011100100000STA[0EH]0000100000001110;R0→[0EH]0000100100110000OUT[0EH]0000101000001110 ;[ 0EH]→BUS0000101101000000JMP00H ;00H→PC00001100000000000000110101000000 ;自定义数据00001110 ;结果存放单元3.3 设计执行流程图3.4设计实现方法在实验七的基础上,将ALUO2连BUS4,a~k与实验七相同,详细如下:a、跳线器J1~J12全部拨在右边(自动工作方式);b、跳线器J16、J18、J23、J24全部拨在左边;c、跳线器J13~J15、J19、J25全部拨在右边;d、跳线器J20~J22、J26、J27连上短路片;e、UJ1连UJ2,JSE1连JSE2,SJ1连SJ2;f、MBUS连BUS2;g、REGBUS连BUS5;h、PCBUS连EXJ2;i、ALUBUS连EXJ3;j、ALUO1连BUS1;k、EXJ1连BUS3;l、ALUO2连BUS4。
带移位运算的模型机的设计与实现接线图微代码表将微程序流程图按微指令格式转化而成的“二进制微代码表”。
第四章带移位运算模型机的调试与分析4.1 调试程序步骤(1)初始状态(2)从实验电路中装入第一个加数00000011到R0中。
(3)将RAM中另外一个加数40H送入DR2中。
(4)将RO中加数03H送入DR1中。
(5)通过ALU运算器进行两个寄存器的数据相加得43H,然后将结果送入R0中。
(5)进行带进位左移操作,得结果为43H,并将结果送入R0中。
(6)将结果40H左移,得86H,并且将结果送入到R0中。
(7)将结果02H通过ALU输出。
4.2程序验证分析理论分析:(1)从实验电路中装入第一个加数10H(0001000),并且从内存中读取另一个加数10H(00010000),10H+10H=20H(00100000)。
(2)将结果20H(00100000)进行RRC带进位的左移操作,OF=0,得结果为40H(010000000)。
(3)将结果40H(00100000)进行RL左移,得结果为80H(10000000)。
实验分析:从所截得的图可得,理论值与实验值相符合。
第五章结论通过这次课程设计,我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。
在设计的过程中遇到问题,可以说得是有许多困难,这毕竟第一次做组成原理课程设计,难免会遇到过各种各样的问题,在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,除此之外,应该多注意或总结一些细节方面。
这次课程设计终于顺利完成了,不过在设计中遇到了很多编程问题,通过小组队员中的积极讨论,也找到了一定的解决方法,这使得我明白了团队合作的重要性。
参考文献:计算机组成原理清华大学出版社张代远编著CCT-IV 计算机组成原理实验指导书启东计算机厂有限公司计算机组成与系统结构电子工业出版社胡越明计算机组成原理实验指导及习题解答甘肃教育出版社文哲蓉苟平章计算机组成原理实验及课程设计指导重庆大学出版社张庸编著。