当前位置:文档之家› JC72S数显计数器中文手册

JC72S数显计数器中文手册

JC72S数显计数器中文手册
JC72S数显计数器中文手册

第5章习题解答

第5章思考题及习题5参考答案 一、填空 1.如果采用晶振的频率为3MHz,定时器/计数器T x(x=0,1)工作在方式0、1、2下,其方式0的最大定时时间为,方式1的最大定时时间为,方式2的最大定时时间为。 答:32.768ms,262.144ms,1024μs 2.定时器/计数器用作计数器模式时,外部输入的计数脉冲的最高频率为系统时钟频率的。 答:1/24 3.定时器/计数器用作定时器模式时,其计数脉冲由提供,定时时间与有关。 答:系统时钟信号12分频后,定时器初值 4.定时器/计数器T1测量某正单脉冲的宽度,采用方式可得到最大量程?若时钟频率为6MHz,求允许测量的最大脉冲宽度为。 答:方式1定时,131.072ms。 5. 定时器T2 有3种工作方式:、和,可通过对寄存器中的相关位进行软件设置来选择。 答:捕捉,重新装载(增计数或减计数),波特率发生器,T2CON 6. AT89S52单片机的晶振为6MHz,若利用定时器T1的方式1定时2ms,则(TH1)= ,(TL1)= 。 答:FCH,18H。 二、单选 1.定时器T0工作在方式3时,定时器T1有种工作方式。 A.1种 B.2种 C.3种D.4种 答:C 2. 定时器T0、T1工作于方式1时,其计数器为位。 A.8位 B.16位 C.14位 D.13位 答:B 3. 定时器T0、T1的GATE x=1时,其计数器是否计数的条件。

A. 仅取决于TR x状态 B. 仅取决于GATE位状态 C. 是由TR x和INT x两个条件来共同控制 D. 仅取决于INT x的状态 答:C 4. 定时器T2工作在自动重装载方式时,其计数器为位。 A.8位 B. 13位 C.14位 D. 16位 答:D 5. 要想测量INT0引脚上的正单脉冲的宽度,特殊功能寄存器TMOD的内容应为。 A.87H B. 09H C.80H D. 00H 答:B 三、判断对错 1.下列关于T0、T1的哪些说法是正确的。 A.特殊功能寄存器SCON,与定时器/计数器的控制无关。对 B.特殊功能寄存器TCON,与定时器/计数器的控制无关。错 C.特殊功能寄存器IE,与定时器/计数器的控制无关。错 D.特殊功能寄存器TMOD,与定时器/计数器的控制无关。错 2.定时器T0、T1对外部脉冲进行计数时,要求输入的计数脉冲的高电平或低电平的持 续时间不小于1个机器周期。特殊功能寄存器SCON与定时器/计数器的控制无关。错 3.定时器T0、T1对外部引脚上的脉冲进行计数时,要求输入的计数脉冲的高电平和低电平的持续时间均不小于2个机器周期。对 四、简答 1.定时器/计数器T1、T0的工作方式2有什么特点?适用于哪些应用场合? 答:方式2为初值自动装入的8位定时器/计数器,克服了在循环定时或循环计数应用时就存在用指令反复装入计数初值影响定时精度的问题。 2.TH x与TL x(x=0,1)是普通寄存器还是计数器?其内容可以随时用指令更改吗?更改后的新值是立即刷新还是等当前计数器计满后才能刷新? 答:THx与TLx(x = 0,1)是计数器,其内容可以随时用指令更改,但是更改后的新值要等当前计数器计满后才能刷新。 3.如果系统的晶振的频率为24MHz,定时器/计数器工作在方式0、1、2下,其最大定时时间各为多少? 答:晶振的频率为24MHz, 机器周期为0.5μs。

简易数字频率计

4.2.3简易数字频率计电路设计 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 一、设计目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 二、设计任务与要求 要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为: 1.测量范围:1HZ—9.999KHZ,闸门时间1s; 10 HZ—99.99KHZ,闸门时间0.1s; 100 HZ—999.9KHZ,闸门时间10ms; 1 KHZ—9999KHZ,闸门时间1ms; 2.显示方式:四位十进制数 3. 当被测信号的频率超出测量范围时,报警. 三、数字频率计基本原理及电路设计 所谓频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 fx=N/T 。因此,可以将信号放大整形后由计数器累计单位时间内的信号个数,然后经译码、显示输出测量结果,这是所谓的测频法。可见数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成,总体结构如图4-2-6:

图4-2-6数字频率计原理图 从原理图可知,被测信号Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,具有固定宽度T的方波时基信号II作为闸门的一个输入端,控制闸门的开放时间,被测信号I从闸门另一端输入,被测信号频率为fx,闸门宽度T,若在闸门时间内计数器计得的脉冲个数为N,则被测信号频率fx=N/THz。可见,闸门时间T决定量程,通过闸门时基选择开关选择,选择T大一些,测量准确度就高一些,T小一些,则测量准确度就低.根据被测频率选择闸门时间来控制量程.在整个电路中,时基电路是关键,闸门信号脉冲宽度是否精确直接决定了测量结果是否精确.逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.放大整形电路 放大整形电路可以采用晶体管 3DGl00和74LS00,其中3DGl00组成放大器将输入频率为fx的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 2.时基电路 时基电路的作用是产生标准的时间信号,可以由555组成的振荡器产生,若时间精度要求较高时,可采用晶体振荡器。由555定时器构成的时基电路包括脉冲产生电路和分频电路两部分。 (1)555多谐振荡电路产生时基脉冲 采用555产生1000HZ振荡脉冲的参考电路如图4-2-7所示。电阻参数可以由振荡频率计算公式f=1.43/((R1+2R2)*C)求得。 (2)分频电路 由于本设计中需要1s、0.1s、10ms、1ms四个闸门时间,555振荡器产生1000HZ,周期为1ms的脉冲信号,需经分频才能得到其他三个周期的闸门信号,可采用74LS90分别经过一级、二级、三级10分频得到。 图4-2-7 555多谐振荡电路 3. 逻辑控制电路 在时基信号II结束时产生的负跳变用来产生锁存信号Ⅳ,锁存信号Ⅳ的负跳变又用来产生清“0”信号V。脉冲信号Ⅳ和V可由两个单稳态触发器74LSl23产生,它们的脉冲宽度由电路的时间常数决定。触发脉冲从B端输入时,在触发脉冲的负跳变作用下,输出端Q可获得一正脉冲, Q非端可获得一负脉冲,其波形关系正好满足Ⅳ和V的要求。手动复位开关S按下时,计数器清“ 0 ”。参考电路如图4-2-8 图4-2-8数字频率计逻辑控制电路 4.锁存器 锁存器的作用是将计数器在闸门时间结束时所计得的数进行锁存,使显示器上能稳定地显示此时计数器的值.闸门时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送译码显示器。选用8D锁存器74LS273可以完成上述功能.当时钟脉冲CP的正跳变来到时,锁存器的输出等于输入,即Q=D。从而将计数器

单片机课程设计6位数字显示计时(DOC)

单片机 课程设计报告书 课题: 6 位数显频率计数器院(系):机电工程学院 专业:机械设计制造及其自动化 姓名: 学号: 2014年1月14日

目录 一、前言---------------------------------------------------- 1.1、课程设计任务------------------------------------------------ 1.2、课程设计任务完成要求------------------------ 1.3、设计目的----------------------------------------------------- 二、方案提出与论证------------------------------------- 2.1频率测量原理与方法----------------------------------- 三、系统硬件设计---------------------------------------- 3.1电路原理图总图------------------------------------------------- 3.1.1电源供电电路-------------------------------------------------- 3.1.2 单片机时钟电路---------------------------------------------- 3.1.3单片机复位电路----------------------------------------------- 3.1.4显示及驱动电路----------------------------------------------- 3 .2 AT89C51单片机芯片的功能及其参数------------------ 四、系统软件设计---------------------------------------- 4.1软件流程图------------------------------------------------------- 4.2程序调试及误差分析------------------------------------------- 五、制作PCB板及焊接元件调试-------------------- 六、元器件明细表---------------------------------------- 七、实验总结------------------------------- 八、参考文献----------------------------------------------

第五章定时器/计数器

第五章MCS-51定时器/计数器及其应用 5.1定时方法概述 在单片机的应用中,可供选择的定时方法主要有: 1.软件定时 软件定时是靠执行一个循环程序以进行时间延迟。软件定时的特点是时间较精确,且不需外加硬件电路。但软件定时要占用CPU的时间,增加CPU开销,因此软件定时的时间不宜太长。 当单片机时钟确定后,每条指令的指令周期是确定的,在指令表中用振荡周期表示出来了。因此,根据程序执行所用的总的振荡周期数和振荡频率,可以较准确的计算,程序执行完所用的时间。软件延时是实际经常采用的一种短时间定时方法。 例4-16 ORG 1000H TIME:MOV R1, #0FAH ;12个振荡周期 L1 :MOV R0, #0FFH ;12个振荡周期 W1 :DJNZ R0 , W1 ;24个振荡周期 DJNZ R1 , L1 ;24个振荡周期 NOP ;12个振荡周期 NOP ;12个振荡周期 RET ;24个振荡周期 计算延时时间: N=12+(12+24×255+24)×250+12+12+24 =1539060个振荡周期 如果?=6MHz T?=1/?=1/6μs Tt=N×T?=1539060×1/6μS=256510μS=0.25651S 调整R 0和R 1 中的参数,可改变延时时间,如果需要加长延时间,可以增加循环嵌入。 当延时时间较长、不便多占用CPU时间的情况下,一般采用定时器方法。

2.内部可编程定时器 这种定时方法是通过对系统时钟脉冲的计数来实现的。计数值通过程序设定,改变计数值,也就改变了定时时间,使用起来既灵活又方便。此外,由于采用计数方法实现定时,因此可编程定时器都兼有计数功能,可以对外来脉冲进行计数。 3.外部扩展专用定时器 对于时间较长的定时,常使用外部扩展专用定时器完成。这种方法定时全部由硬件电路完成,不占用CPU时间。例如:DALLAS 公司的DS1302低功耗时钟芯片.它可以对年月日时分秒计时,并且有闰年补偿功能,它可以很方便地和单片机接口. 5.2 51单片机内部的定时器/计数器 作为基本组成内容,8051单片机共有两个可编程的定时器/计数器,分别称定时 器/计数器0和定时器/计数器1。它们都是十六位加法计数结构,分别由TH 0和TL 及TH 1和TL 1 两个8位计数器组成,它们具有计数和定时两种工作方式以及四种工作模 式。两个特殊功能寄存器(定时器控制寄存器TCON和定时器方式寄存器TMOD)用于确定定时器/计数器的功能和操作方式。图5-1给出了定时器/计数器的结构框图, 它反映了单片机中微处理器、寄存器TCON和TMOD与定时器T 0、T 1 之间的关系。计数 器的输入脉冲源可以是外部脉冲源或系统时钟振荡器,计数器对这两个输入脉冲之一进行递增计数。 顾名思义,MCS-51的每个定时器/计数器都具有定时和计数两种功能。

实验报告五 定时器计数器实验

信息工程学院实验报告 课程名称:微机原理与接口技术Array 实验项目名称:定时器/计数器实验实验时间: 班级:姓名:学号: 一、实验目的 1. 掌握8254 的工作方式及应用编程。 2. 掌握8254 典型应用电路的接法。 二、实验设备 PC 机一台、TD-PITD+实验系统一套。 三、实验原理 8254 是Intel 公司生产的可编程间隔定时器。是8253 的改进型,比8253 具有更优良的性能。8254 具有以下基本功能: (1)有 3 个独立的16 位计数器。 (2)每个计数器可按二进制或十进制(BCD)计数。 (3)每个计数器可编程工作于 6 种不同工作方式。 (4)8254 每个计数器允许的最高计数频率为10MHz(8253 为2MHz)。 (5)8254 有读回命令(8253 没有),除了可以读出当前计数单元的内容外,还可以读出状态寄存器的内容。 (6)计数脉冲可以是有规律的时钟信号,也可以是随机信号。计数初值公式为: n=f CLKi ÷f OUTi、其中f CLKi 是输入时钟脉冲的频率,f OUTi 是输出波形的频率。 图5-1 是8254 的内部结构框图和引脚图,它是由与CPU 的接口、内部控制电路和三个计数器组成。8254 的工作方式如下述: (1)方式0:计数到0 结束输出正跃变信号方式。 (2)方式1:硬件可重触发单稳方式。 (3)方式2:频率发生器方式。 (4)方式3:方波发生器。 (5)方式4:软件触发选通方式。 (6)方式5:硬件触发选通方式。

图5-1 8254 的内部接口和引脚 8254 的控制字有两个:一个用来设置计数器的工作方式,称为方式控制字;另一个用来设置读回命令,称为读回控制字。这两个控制字共用一个地址,由标识位来区分。控制字格式如表5-1~5-3 所示。 表5-1 8254 的方式控制字格式 表5-2 8254 读出控制字格式 表5-3 8254 状态字格式 8254 实验单元电路图如下图所示:

课程设计——6位数字频率计

数字电子技术课程设计报告题目:6位数字频率计 学年:2009-2010 学期: 2 专业电子信息工程班级: 学号:姓名: 指导教师: 时间:2010 年 3 月8日~2010 年 3 月11 日 浙江万里学院电子信息学院

浙江万里学院电子信息学院课程设计报告 目录 一、设计任务书 二、设计框图及整体概述 三、各单元电路的设计方案及原理说明 四、结果分析 五、体会和总结 附录一、电路设计总图 附录二、50MHz变成2Hz的模块VHDL语言源程序 附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表 第页

一、设计任务书 设计一个6位数字频率计,测量范围为000000~999999; 应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图; 应用FPGA实验开发板下载设计文件,实现电路的功能。 二、设计框图及整体概述 1.设计框图 2、主要芯片及作用 T触发器:将2HZ的频率翻转成1HZ。 74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。74374:是8位的锁存器,可以选用3个来设计24位的锁存器。74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。 7448:是BCD—7段译码器,用来显示测量结果。

3、设计原理说明 数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。 因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。 50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号,通过T 触发器将2HZ 翻转成1HZ ,1HZ 经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。 三、各单元电路的设计方案及原理说明 1. 时钟分频模块 VCC clk_50m INPUT clk_1hz OUTPUT clk1clk fenpin inst PRN CLRN T Q TFF inst2 VCC 时钟分频原理图 原理:50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号。将T 触发器的T 端接高电平,T 触发器则转化为T ’触发器,2HZ 的脉冲通过它变为1HZ 。

MCS-51单片机计数器定时器

80C51单片机内部设有两个16位的可编程定时器/计数器。可编程的意思是指其功能(如工作方式、定时时间、量程、启动方式等)均可由指令来确定和改变。在定时器/计数器中除了有两个16位的计数器之外,还有两个特殊功能寄存器(控制寄存器和方式寄存器)。 : 从上面定时器/计数器的结构图中我们可以看出,16位的定时/计数器分别由两个8位专用寄存器组成,即:T0由TH0和TL0构成;T1由TH1和TL1构成。其访问地址依次为8AH-8DH。每个寄存器均可单独访问。这些寄存器是用于存放定时或计数初值的。此外,其内部还有一个8位的定时器方式寄存器TMOD和一个8位的定时控制寄存器TCON。这些寄存器之间是通过内部总线和控制逻辑电路连接起来的。TMOD主要是用于选定定时器的工作方式;TCON主要是用于控制定时器的启动停止,此外TCON还可以保存T0、T1的溢出和中断标志。当定时器工作在计数方式时,外部事件通过引脚T0(P3.4)和T1 (P3.5)输入。 定时计数器的原理: 16位的定时器/计数器实质上就是一个加1计数器,其控制电路受软件控制、切换。 当定时器/计数器为定时工作方式时,计数器的加1信号由振荡器的12分频信号产生,即每过一个机器周期,计数器加1,直至计满溢出为止。显然,定时器的定时时间与系统的振荡频率有关。因一个机器周期等于12个振荡周期,所以计数频率fcount=1/12osc。如果晶振为12MHz,则计数周期为: T=1/(12×106)Hz×1/12=1μs 这是最短的定时周期。若要延长定时时间,则需要改变定时器的初值,并要适当选择定时器的长 度(如8位、13位、16位等)。 当定时器/计数器为计数工作方式时,通过引脚T0和T1对外部信号计数,外部脉冲的下降沿将触发计数。计数器在每个机器周期的S5P2期间采样引脚输入电平。若一个机器周期采样值为1,下一个机器周期采样值为0,则计数器加1。此后的机器周期S3P1期间,新的计数值装入计数器。所以检测一个由1至0的跳变需要两个机器周期,故外部事年的最高计数频率为振荡频率的1/24。例如,如果选用12MHz 晶振,则最高计数频率为0.5MHz。虽然对外部输入信号的占空比无特殊要求,但为了确保某给定电平在变化前至少被采样一次,外部计数脉冲的高电平与低电平保持时间均需在一个机器周期以上。

数字频率计数器的设计(要点说明

1.实习目的 电子线路实习时了配合模拟电子技术基础课程的教学而开设的。采用EAD 技术中的multisim软件来对模拟电路进行仿真运行,让学生完成EDA技术方面的初步训练,培养起掌握新技术的能力,以适应当今电子技术的飞速发展。 以计算机仿真为基础的电子设计自动化即EAD技术已成为当代电子电路及集成电路设计中不可缺少的重要手段。multisim是一个优秀的电子技术训练工具,利用它可以更灵活的进行电路实验,并在实验室难以达到的实验条件下进行模拟,从而提高学生设计和分析电路的能力。通过综合性设计能使学生会解决较复杂实际问题的能力,为其后续课程的学习和以后从事实际工作打下坚实的基础。

2.实习内容 2.1 multisim简介 Multisim 11是IIT公司推出Multisim 11之后的Multisim最新版Multisim11提供了全面集成化的设计环境,完成从原理图设计输入、电路仿真分析到电路功能测试等工作。当改变电路连接或改变元件参数,对电路进行仿真时,可以清楚地观察到各种变化对电路性能的影响。 EDA是在计算机辅助设计技术的基础上发展起来的计算机软件系统。与早期的CAD软件相比,EDA软件的自动化程度更高,功能更完善,运行速度更快,而且操作页面友善,有良好的数据开放性和互换性。 电子工作平台Electronice Workbench 软件是加拿大 Interactive Image Technologies 公司于八十年代末,九十年代初推出的电子电路仿真的虚拟电子工作台软件。它具有一些特点: (1).采用直观的图形界面创造电路,在计算机屏幕上模拟真实实验室的工作台,绘制电路图需要的元件,电路仿真需要的测试仪器均可直接从屏幕上获取。(2).软件仪器的控制面板外形和操作方式都与实物相似,可以实事显示测量结果。 (3).EWB软件带有丰富的电路元件库,提供多种电路分析方法。 (4).作为设计工具,它可以同其他电路分析.设计和制板软件交换数据。(5).EWB还是一个优秀的电子技术训练工具,利用它提供的虚拟仪器可以用比实验室中更灵活的方式进行电路实验,仿真电路的实际运行情况。 (6).熟悉常用电子仪器测量方法,因此非常适合电子类课程的教学和实验。这里,我们向大家介绍EWB软件的初步知识,基本操作方法,电路图的绘制,虚拟仪器的使用及基本分析方法。

6位数字频率计

数字频率计

目录 一、设计任务书 二、设计框图及整体概述 三、各单元电路的设计方案及原理说明 四、结果分析 五、体会和总结 附录一、电路设计总图 附录二、50MHz变成2Hz的模块VHDL语言源程序 附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表 第页 一、设计任务书

设计一个6位数字频率计,测量范围为000000~999999; 应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图; 应用FPGA实验开发板下载设计文件,实现电路的功能。 二、设计框图及整体概述 1.设计框图 2、主要芯片及作用 T触发器:将2HZ的频率翻转成1HZ。 74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。74374:是8位的锁存器,可以选用3个来设计24位的锁存器。74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。 7448:是BCD—7段译码器,用来显示测量结果。

3、设计原理说明 数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。 因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。 50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号,通过T 触发器将2HZ 翻转成1HZ ,1HZ 经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。 三、各单元电路的设计方案及原理说明 1. 时钟分频模块 VCC clk_50m INPUT clk_1hz OUTPUT clk1clk fenpin inst PRN CLRN T Q TFF inst2 VCC 时钟分频原理图 原理:50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号。将T 触发器的T 端接高电平,T 触发器则转化为T ’触发器,2HZ 的脉冲通过它变为1HZ 。 2. 时序产生模块

频率计数器

一、系统设计 1. 设计的任务与要求 1.1 设计任务: 设计并制作一台闸门时间为1s的数字频率计。 1.2 设计要求 (1)频率和周期的测量: (a)被测信号为正弦波,频率范围为1Hz到10MHz;(b)给测信号的有效值电压范围为50mV到1V;(c)测量相对误差的绝对值不大于104-。 (2)时间间隔测量功能: (a)被测信号为方波,频率范围为100Hz到1MHz;(b)给测信号的峰值电压范围为50mV到1V;(c)被测时间间隔的范围为0.1us到100ms;(d)测量相对误差的绝对值不大于102-。 (3)测量数据刷新时间不大于2s,并能自动显示单位。 发挥要求 (1)频率和周期测量的正选信号频率范围为1Hz到100MHz,其他要求同基本要求(1)和(3)。 (2)频率和周期测量时被测正弦信号的最小有效值电压为10mV,其他要求同基本要求(1)和(3)。 (3)增加脉冲信号占空比的测量功能。

2. 总体方案的论证与比较 基于设计数字频率计可以采用三种方法,第一可以通过数字电路实现,由于题目要求精度要到104-,数显的方式无法实现;第二可以通过FPJA可编程器件进行实现,虽然用FPJA设计,比数字电路和stm32更简易,但编程复杂,运算能力欠缺;第三采用stm32开发板进行实现,不管是其精度和运算能力,还运行速度快,选择stm32来设计。制作一台时间为1s的闸门,利用stm32软件编程设计,被测范围为1Hz到10MHz,考虑到精度的要求,低频利用放大电路进行增幅,再通过软件计算输入显示,高频通过分频电路降低测量误差。时间间隔测量通过通道信号的输入给放大整流电路,通过整形后的波形测出Ta-b,被测范围可通过内部时钟频率设定一个时标频率,到时读出。 2.1 放大模电路块 方案一:为了将待测信号整形成能接受的脉冲信号,满足显示,可通过放大器后接一个单门限电压比较器(LM339),这样虽然能完成整形工作,但电路抗干扰能力差,带来数据误差的影响。 方案二:通过AD8009芯片设计的放大电路,在用AD8055把输入为正弦波的信号输出为方波,频率不发生变化。从芯片功能的满足和误差方面考虑,采用方案二。 2.2 频率测试电路模块 方案一:在放大整形电路后,信号频率还存在显示的误差很大,考虑对频率进行晶体振荡器来解决。对于小信号的频率不进行倍频,虽然倍频可以减小测量误差,但对于低频信号误差很小;采用256分频电路通过74HC74把高频信号分频。 方案二:把频率信号经脉冲形成电路后加到闸门电路的输入端,直接通过测试一段时间后,被计数的脉冲的值由十进制计数器进行计数,设计数值为M,则频率 f =M / T。最终选择方案一。

六位计数器

六位计数器 六位计数器 本文介绍一种使用单片机制作的双向多功能6位计数器,其面板框图如图1所示。该计数器电路原理如图2所示。它极大地方便了需要交替或者同时使用加数功能和减数功能的场合,例如:在某些生产线上,一方面进行合格品的自动累加计数,另一方面又要扣除从检验岗位返回的不合格品的数字,完成这种功能,通常需要使用两只计数器,还要经过计算才可以得到结果;现在只需要使用本文介绍的计数器就能够同时完成加数和减数的工作,而且即时得到结果的数字。现将该计数器介绍如下。 1.计数信号输入 具有两个计数信号输入端口,可以同时或者分别输人加数信号与减数信号。当输人其中一种信号时,另一个信号输入端无需任何设置,就让它悬空即可。 两个输人端口使用了内部带“斯密特”特性的端口,如果两个端口同时输人信号的话,程序会自动判断,判断原理如下:11=无输人;10=减数输人;01=加数输人;00=同时输人。 由于输人端口本身具有“斯密特”性能对计数信号的输人无疑具有较好的抗干扰能力。只要输人基本的0~3.5 V直流脉冲或者电平信号,电路都可以正常响应。 提示:

输人信号可以使用红外线、光敏、机械开关和其它电子开关产生的开关脉冲,严禁脉冲最大电压超过DCSV。 最方便的是,无需使用有源的输人脉冲,只需要把输人端口对地线短接一次,就可以输人一个计数信号,因为本电路已经配置好输人端口为高电平状态。图2中单片机SP和6P的两个端口分别接与+5V 端相连的两只4.7k iZ电阻的另一端。 程序智能处理两个端口来的输人信号: 11和00均为不处理,00虽然是两个端口同时输人,但是因为它们是相反性质,因此,互相抵消,屏幕数字保持不变。 01:需要把显示值增加一个字,> 999999或者=用户设置的超限值,则加数控制输出会出现高电平。 10:需要把显示值减少一个字,到达000000时,减数控制输出会出现高电平。 2.控制输出: 具有两个很灵活应用的控制输出端口,其应用组合功能远远高于普通控制输出端口。 如果屏幕显示为000000,则OUTL输出高电平,这就是减计数的最终控制输出信号;如果屏幕显示为用户设置值,则OUTH输出高电平,这就是加计数的最终输出控制信号。 如果屏幕既不是000000,也不是用户的设置值,就都输出L电平。 3.操作按键

数字计频器课程设计-六位数字计频器设计

数字计频器课程设计 2008.12.29

六位数字计频器设计 一、数字频率计测频率基本原理 数字频率计的基本原理就是测量单位时间内脉冲信号的个数,即, f=N/T 其中,f 是被测信号的频率,N 是计数器累加的脉冲个数,T 是测量时间。 基本原理如下图所示: (1)时基单元 包括振荡器和分频器,用来产生周期为1s 的脉冲信号,称为时基信号。 控制电路

(2)控制电路 其一得到宽度为1s的方波,称为闸门信号,其二在每次取样后封锁主控门和时基信号的输入门 (3)计数单元 把通过主控门的被测信号输入计数器、寄存器、译码器和显示器。(4)延时单元 数据显示一段时间,其时间的长短取决于延时电路,然后对计数器进行清零,重新进行测量。 (5)主控门 起控制被测信号通过的作用,在取样时间内主控门打开,清零和显示时间内主控门关闭。 (6)输入单元 将接受的各种信号放大、整形,变换为脉冲信号。 二、数字频率计主要技术指标 1、频率测量范围 在输入电压符合规定要求值时,能够正常进行测量的频率区间称为频率测量范围。频率测量范围主要由放大整形电路的频率响应决定。本方案的测量范围是1-999999Hz。 2、数字显示位数 频率计的数字显示位数决定了频率计的分辨率。位数越多,分辨率越高。本方案的显示位数为6位 3、测量时间

频率计完成一次测量所需要的时间,包括准备、计数、锁存和复位时间。 三、计频器功能 1、一秒显示一秒清零; 2、显示两秒清零一秒; 3、消隐功能; 4、能够实现开关和清零功能; 四、设计环境 本方案是以max+plus 2.0为设计和仿真平台,GW48-CK实验开发系统为应用平台。 五、方案及原理图 实验方案选择试验指导书提供的例题——二位十进制的频率计频器的类似的设计方案,以下将给出电路设计图并予以说明。 A、频率显示1s,0显示1s Ⅰ、两位计数器原理图及说明

简单频率计的制作

一.设计的基本原理和框图 1.1基本原理: 数字频率计是用数字显示被测信号的频率的仪器,被测信号可以是正弦波,方波或者其他周期性变化的信号,它的基本原理是时基信号发生器提供标准的时基脉冲信号,若其周期为1s则门控电路的输出信号持续时间亦准确到1s。闸门电路有标准秒信号控制,当秒信号到来时闸门开通,信号通过闸门送到计数译码显示电路,秒信号结束时闸门关闭,计数器停止计数,由于计数器记得脉冲数N 的是一秒内的累积数,所以被测频率是NHZ。闸门时间可以取大于或者小于1秒的值,测得的频率时间间隔与闸门时间的取值成正比,在这里取的闸门时间为1s。 在此,数字频率计由分频器,片选电路,计数器,锁存器,译码电路和显示电路作为主要组成部分。 1.2设计框图如图1.1所示:

图1.1 二.单元电路设计 2.1分频电路模块 分频器在总电路中有两个作用。由总图框图中分频器有两个输出,一个给计数器,一个给锁存器。时钟信号经过分频电路形成了20分频后的门信号。另一个给锁存器作锁存信号,当信号为低电平时就锁存计数器中的数。 分频电路图如图2.1 图2.1 分频电路图 2.2片选信号电路模块 这个电路有两个用途:一是为后面的片选电路产生片选信号,二是为译码模块提供选择脉冲信号。 电路图如图2.2

图2.2 片选信号电路图 2.3计数器模块 计数器模块为该电路中的核心模块,它的功能是:当门信号为上升沿时,电路开始计算半个周期内被测信号通过的周期数,到下升沿后结束。然后送给锁存器锁存。 计数器电路图如图2.3所示: 图2.3 计数器电路图 2.4锁存器模块 在分频信号的下降沿到来时,锁存器将计数器的信号锁存,然后送给编译模块中。其电路图如图2.4所示:

6位数显频率计数器电路及程序

6位数显频率计数器电路及程序 6位数显频率计数器 1.实验任务 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。 2.电路原理图 3.系统板上硬件连线 (1).把“单片机系统”区域中的P0.0-P0.7与“动态数码显示”区域中的ABCDEFGH端口用8芯排线连接。 (2).把“单片机系统”区域中的P2.0-P2.7与“动态数码显示”区域中的 S1S2S3S4S5S6S7S8端口用8芯排线连接。 (3).把“单片机系统”区域中的P3.4(T0)端子用导线连接到“频率产生器”区域中的WAVE端子上。 4.程序设计内容 (1).定时/计数器T0和T1的工作方式设置,由图可知,T0是工作在计数状态下,对

输入的频率信号进行计数,但对工作在计数状态下的T0,最大计数值为fOSC/24,由于fOSC=12MHz,因此:T0的最大计数频率为250KHz。对于频率的概念就是在一秒只数脉冲的个数,即为频率值。所以T1工作在定时状态下,每定时1秒中到,就停止T0的计数,而从T0的计数单元中读取计数的数值,然后进行数据处理。送到数码管显示出来。(2).T1工作在定时状态下,最大定时时间为65ms,达不到1秒的定时,所以采用定时50ms,共定时20次,即可完成1秒的定时功能。 5.C语言源程序 #include unsigned char code dispbit[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40}; unsigned char dispbuf[8]={0,0,0,0,0,0,10,10}; unsigned char temp[8]; unsigned char dispcount; unsigned char T0count; unsigned char timecount; bit flag; unsigned long x; void main(void) { unsigned char i; TMOD=0x15; TH0=0; TL0=0; TH1=(65536-4000)/256; TL1=(65536-4000)%6; TR1=1; TR0=1; ET0=1; ET1=1; EA=1; while(1) { if(flag==1) { flag=0; x=T0count*65536+TH0*256+TL0; for(i=0;i<8;i++) { temp[i]=0; } i=0; while(x/10) {

简易数字频率计

宁波工程学院 电子信息工程学院 课程设计报告 课程设计题目:简易数字频率计 起讫时间:2011年05月23日至2011年06月03日

目录第一章技术指标 1.1整体功能要求 1.2电气指标 1.3扩展指标 1.4设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图 2.3 计数原理 第三章单元电路设计 3.1 波形变换电路 3.2 闸门电路设计 3.3小数点显示电路设计 第四章测试与调整 4.1 硬件测试与调整 4.2 软件测试与调整 4.3 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进 5.3心得体会 附录

第一章技术指标 1.1整体功能要求 设计并制作一台数字显示的简易频率计,主要用于测量正弦波、方波等周期 信号的频率值。 1.2 电气指标 1.2.1 信号波形:方波; 1.2.2 信号幅度;TTL电平; 1.2.3 信号频率:100Hz~9999Hz; 1.2.4 测量误差:≤1%; 1.2.5 测量时间:≤1s/次,连续测量; 1.2.6 显示:4位有效数字,可用数码管,LED或LCD显示。 1.3扩展指标 1.3.1 可以测量正弦波信号的频率,电压峰-峰值VPP=0.1~5V; 1.3.2 方波测量时频率测量上限为3MHz,测量误差≤1%; 1.3.3 正弦(Vopp=0.1V~5V)测量时频率测量上限为3MHz,测量误差≤1%; 1.3.4量程自动切换,且自动切换为四位有效数字输出; 1.4设计条件 1.4.1 电源条件:+5V。 1.4.2开发平台:本系统以高速SOC单片机C8051F360和FPGAEP2C8T144为 核心,主要包括9个模块,其主要配置见表1-1。 表1-1数字电子系统设计实验平台模块一览 型号名称主要配置 MCU模块SOC单片机8051F360,CPLD芯片EMP3064TC44 74151 FPGA模块EMP3064TC44,串行配置芯片,JTAG和AS配置 接口 74153 LCD和键盘模块12864中文液晶,16个按键 7404 8位高速A/D模块30MHz8位A/D转换器ADS930,信号调理电路4518 10位高速D/A模块双路100MHz10位D/A转换器THS5651,差分放 大电路,反相器

11频率计数器设计

湖南工程学院应用技术学院课程设计 课程名称 课题名称 专业 班级 学号 姓名 指导教师 2015 年7 月3 日

报告撰写要求(此页不打印) 课程设计报告是体现课程设计成果的载体,具体要求如下: 1、课程设计报告的基本格式 (1)说明书统一使用word文档打印,A4纸张,页边距设置为:上2cm,下2cm,左2.54cm,右2cm。 (2)正文采用宋体小四,字间距20磅;1级标题采用黑体小三,2级标题采用黑体四号,3级标题采用黑体小四;1和2级标题段落间距为上下0.5行。 (3)图表需统一编号,图标标题采用黑体五号;图标题在图片下方,表格标题在表格上方。 (4)装订顺序为:封面、任务书、报告正文、评分表。 2、课程设计报告的撰写要求 (1)设计报告正文内容为10页左右为宜,主要内容为自己的设计思路、设计步骤、关键性步骤的记录、重要结果的记录以及自己本次课程设计的总结。报告撰写要求思路清晰、结构合理、层次清晰,报告简洁但又要能体现设计过程。 (2)报告中图表要求清晰、规范,图表的尺寸大小适当。 (3)课程设计报告内容(仅供参考):

应用技术学院 课程设计任务书 课题名称频率计数器设计 姓名专业班级学号 指导老师寻大勇 课程设计时间2015年6月22日-2015年7月3日 一、任务及要求 设计任务: 本课题以单片机为核心,设计和制作一个频率计数器,来完成对输入的信号进行频率计数,计数的频率结果能够显示出来。要求能够对0-250KHz的信号频率进行准确计数,计数误差不超过±1HZ。 设计要求: (1)确定系统设计方案; (2)进行系统的硬件设计; (3)完成应用程序设计; (4) 应用系统的硬件和软件的调试。 二、进度安排 第一周: 周一:集中布置课程设计任务和相关事宜,查资料确定系统总体方案。 周二~周三:完成硬件设计和电路连接 周四~周日:完成软件设计 第二周: 周一~周三:程序调试 周四~周五:设计报告撰写。周五进行答辩和设计结果检查。 三、参考资料 1、王迎旭等.单片机原理及及应用. 2版.机械工业出版社,2012 2、胡汉才.单片机原理及其接口技术.3版.清华大学出版社,2010. 3、戴灿金.51单片机及其C语言程序设计开发实例.清华大学出版社,2010

数字频率计的说明书

目录页数 1. 产品介绍................................................................................. 1-1.简述……….………………………………………………. 1-2.特性…...…………………………………………………... 1 1 1 2. 技术规格 (2) 3. 使用前之注意事项……………………………….…………... 3-1.拆开包装…………………..………………….…………... 3-2.使用电源…………………...…………………..…………. 3-3.设备安装和操作………………………...………………... 3-4.预备工作………………………………………………….. 3 3 3 4 4 4. 面板介绍 (5) 5. 应用……………………………………………………………. 5-1.灵敏度………..…………………………………………… 5-2.输入灵敏度特性………………...………………………... 5-3.最大输入电压…………..………………………………... 5-4.典型应用………………………………………………….. 7 7 10 11 11 6. 电路描述…………………..……………………………...…... 6-1.工作原理……………………………..………………….... 6-2.频率测量精度 (13) 13 14 7. 维护……………..…………………………………………….. 7-1.标准的校准方法………………….……………………… 7-2.清洁…..…………………………………………………... 18 18 18

相关主题
文本预览
相关文档 最新文档