可编程逻辑器件实验大纲
- 格式:doc
- 大小:43.00 KB
- 文档页数:4
《可编程逻辑器件原理与设计》课程标准可编程逻辑器件原理与设计课程标准1. 课程背景介绍本课程旨在介绍可编程逻辑器件(PLD)的原理与设计方法。
PLD是数字电路中的重要组成部分,具有灵活性和可重构性。
通过本课程的研究,学生将了解PLD的基本概念、原理和应用,掌握PLD的设计方法和工具的使用。
2. 课程目标本课程旨在培养学生的以下能力:- 理解数字电路的基本原理与设计方法;- 掌握可编程逻辑器件(如FPGA、CPLD)的基本概念和工作原理;- 学会使用PLD设计工具进行电路的逻辑设计和仿真;- 能够独立完成PLD电路设计和调试;- 培养学生的团队合作与沟通能力。
3. 课程大纲3.1 基础知识介绍- 数制与编码- 布尔代数和逻辑函数- 组合逻辑电路- 时序逻辑电路3.2 可编程逻辑器件概述- 可编程逻辑器件的发展历程- 可编程逻辑器件的分类与特点- 可编程逻辑器件的工作原理3.3 FPGA设计流程- FPGA的基本结构- FPGA的编程方法- FPGA设计工具的使用- FPGA设计流程实例3.4 CPLD设计方法- CPLD的概念与应用- CPLD的设计方法与工具- CPLD设计案例分析3.5 高级主题- 时序分析与时序优化- IP核的集成与设计- 高级FPGA应用案例介绍4. 课程评估与考核本课程的评估与考核方式主要包括:- 课堂作业:包括设计题目和仿真验证- 实验报告:针对PLD设计实验的报告撰写与展示- 期末考试:包括理论知识与实际应用的综合考核5. 参考资料- J.H. 雷金斯. 可编程逻辑器件原理与设计. 机械工业出版社, 2008.- R.L. 贺顿, N.H. 冯塞尔. 可编程逻辑器件与FPGA设计. 人民邮电出版社, 2019.以上是《可编程逻辑器件原理与设计》课程的标准,本课程旨在提供学生对PLD的全面认识,并培养学生的逻辑设计与电路实现能力。
通过本课程的学习,学生将能够独立完成PLD电路的设计、调试和优化,为将来的数字电路设计与嵌入式系统开发打下坚实基础。
可编程逻辑器件应用实践
(实验指导)
2011.4
目录
第一部分实验开发系统硬件介绍 (1)
第二部分实验部分 (12)
实验1 用图形的设计方法设计一个3-8译码器组合电路(4课时) (12)
实验2 用图形的设计方法设计一个触发器时序电路(2课时) (25)
实验3 用图形法和VHDL语言设计一个全加器(2课时) (29)
实验4 利用可编程逻辑器件进行ROM的设计(2课时) (31)
实验5 利用可编程逻辑器件进行7段数码管控制接口的设计(4课时) (34)
实验6 利用可编程逻辑器件进行D/A控制接口的设计(4课时) (36)
实验7 利用可编程逻辑器件进行A/D控制接口的设计(2课时) (39)
实验8 利用可编程器件进行一个16*16点阵控制接口的设计(4课时) (42)
第一部分实验开发系统硬件介绍
第二部分实验部分
实验1 用图形的设计方法设计一个3-8译码器组合电路(4课时)
实验2 用图形的设计方法设计一个触发器时序电路(2课时)
实验3 用图形法和VHDL语言设计一个全加器(2课时)
实验4 利用可编程逻辑器件进行ROM的设计(2课时)
实验5 利用可编程逻辑器件进行7段数码管控制接口的设计(4课时)
实验6 利用可编程逻辑器件进行D/A控制接口的设计(4课时)
实验7 利用可编程逻辑器件进行A/D控制接口的设计(2课时)
实验8 利用可编程器件进行一个16*16点阵控制接口的设计(4课时)。
一、实验目的通过本次实验,使学生掌握可编程逻辑器件(FPGA)的基本原理和操作方法,了解其结构特点和应用领域。
通过实验,培养学生动手实践能力和创新意识,提高学生运用FPGA进行数字系统设计和验证的能力。
二、实验原理可编程逻辑器件(FPGA)是一种高度集成的数字电路,具有可编程性、可扩展性和可重用性。
FPGA主要由可编程逻辑单元、可编程互连资源、时钟管理单元、I/O单元等组成。
通过编程,用户可以根据自己的需求定制FPGA内部逻辑结构,实现各种数字电路功能。
FPGA编程通常采用硬件描述语言(HDL),如VHDL或Verilog。
HDL描述了电路的功能和结构,通过编译和综合,生成FPGA内部的逻辑资源分配和互连关系。
实验中,我们将使用Quartus II软件进行FPGA编程和仿真。
三、实验内容1. FPGA基础操作(1)安装Quartus II软件,熟悉软件界面和基本操作。
(2)搭建FPGA实验平台,包括FPGA开发板、电源、连接线等。
(3)将FPGA开发板连接到计算机,进行硬件初始化和配置。
2. FPGA编程(1)使用VHDL或Verilog语言编写实验程序,实现简单的数字电路功能,如全加器、编码器、译码器等。
(2)将编写好的程序导入Quartus II软件,进行编译和综合。
(3)观察编译报告,检查程序语法错误和资源占用情况。
(4)进行仿真,验证程序功能是否正确。
3. FPGA下载与验证(1)将编译后的程序下载到FPGA芯片中。
(2)使用示波器或逻辑分析仪等工具,观察FPGA输出的波形,验证程序功能。
(3)根据实验要求,修改程序参数,优化电路性能。
四、实验步骤1. 搭建实验平台(1)将FPGA开发板连接到计算机,确保所有连接线正确。
(2)检查电源电压,确保FPGA芯片供电正常。
2. 编写程序(1)打开Quartus II软件,创建新工程。
(2)选择合适的HDL语言,编写实验程序。
(3)保存程序,并添加到工程中。
可编程逻辑器件作业北京工业大学电子信息工程实验班王智一09022128一、实验内容1.3×8译码器(1)设计输入①建立新的工程,建立新的VHDL FILE,输入程序代码(注意:工程文件夹、工程名称以及顶层实体名推荐使用相同的名称):程序代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity demo isPort ( A : in STD_LOGIC_VECTOR (2 downto 0);EN: in STD_LOGIC;Y : out STD_LOGIC_VECTOR (7 downto 0));end demo;architecture DEC of demo issignal indata:STD_LOGIC_VECTOR (2 downto 0);beginprocess(A,EN)beginindata(0)<=A(0);indata(1)<=A(1);indata(2)<=A(2);if(EN='1')thencase indata iswhen "000" =>Y<="00000001";when "001" =>Y<="00000010";when "010" =>Y<="00000100";when "011" =>Y<="00001000";when "100" =>Y<="00010000";when "101" =>Y<="00100000";when "110" =>Y<="01000000";when "111" =>Y<="10000000";when others=>Y<="11111111";end case;end if;end process;end DEC;(2)编译实验仿真波形:图1 3×8译码器输入波形图2 3×8译码器输出波形图3 3×8译码器管脚配置截图图4 3×8译码器Xilinx仿真波形2.数码管显示(1)设计输入程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY demo ISPORT(di: in STD_LOGIC_VECTOR(3 downto 0);a: out STD_LOGIC;b: out STD_LOGIC;c: out STD_LOGIC;d: out STD_LOGIC;e: out STD_LOGIC;f: out STD_LOGIC;g: out STD_LOGIC);END demo;ARCHITECTURE a of demo isbeginprocess(di)type data_out is array(0 to 6) of std_logic;variable outp: data_out;begincase di iswhen "0000"=>outp:="1111110";when "0001"=>outp:="0110000";when "0010"=>outp:="1101101";when "0011"=>outp:="1111001";when "0100"=>outp:="0110011";when "0101"=>outp:="1011011";when "0110"=>outp:="1011111";when "0111"=>outp:="1110000";when "1000"=>outp:="1111111";when "1001"=>outp:="1111011";when "1010"=>outp:="1110111";when "1011"=>outp:="0011111";when "1100"=>outp:="1001110";when "1101"=>outp:="0111101";when "1110"=>outp:="1001111";when "1111"=>outp:="1000111";when others=>null;end case;a<=outp(0);b<=outp(1);c<=outp(2);d<=outp(3);e<=outp(4);f<=outp(5);g<=outp(6);end process;end a;(2)编译实验仿真波形:图5 数码管输出波形3.BPSK的解调(1)BPSK的解调原理:调相信号是通过载波的相位变化来传输消息的,它具有恒定的包络,而且频率上也无法分离,所以不能采用包络解调,只能采用相干解调。
实验1 开关、发光二极管和多路器本实验的目的是学习如何连接一个简单的外部输入、输出器件到FPGA芯片以及如何在FPGA器件上实现逻辑电路控制简单外部器件。
考虑使用DE2开发板上拨动开关SW17-0(toggle Switch)作为电路的输入。
使用发光二极管(Light Emitting Diodes,LEDs)和7段显示数码管(7-segment Display)作为电路的输出。
第1部分DE2开发板提供了18个拨动开关,分别被命名为SW17-0,这些开关可以用作为电路的输入。
DE2开发板同时还提供了18个红色的LED,被命名为LEDR17-0,用于显示电路的输出值。
图1所示一个简单的Verilog HDL模块,用LED来显示这些开关的状态。
因为拨动开关SW和LED都是18个,在Verilog HDL代码中,将它们表示成向量的形式更为方便,这样我们可以在编程的时候使用一个赋值语句来代替以下的18个赋值语句。
assign LEDR[17] = SW[17];assign LEDR[16] = SW[16];…assign LEDR[0] = SW[0];在DE2开发板上,FPGA器件与拨动开关和发光二极管之间已经正确连接。
为了正确使用SW17-0和LEDR17-0,必须在Quartus II工程中正确的进行引脚分配(pin assignment)。
关于引脚分配可以参考DE2 User Manual。
例如,在DE2 User Manual中已经指明,拨动开关SW0被连接到FPGA的N25引脚,LEDR0被连接到AE23引脚。
最好的引脚分配方式是在Quartus II软件中导入DE2_pin_assignments.csv文件,该文件可以在DE2 System CD上或者Altera公司的大学计划网站上获得。
引脚分配过程在Quartus II Introduction using Verilog Design中有详细描述,该文件也可以从Altera公司网站上获得。
《可编程逻辑器件》实验大纲课程学时:48 实验学时:14适用专业:电子、电气类专业大纲执笔人:梅杨大纲审批人:一、实验课程的目的和要求培养电子类、控制类专业的学生进一步掌握电子系统设计和相应软件的应用。
使学生对所学的知识有一个感性上的认识,达到理论教学和实践教学相结合的目的。
要求学生进一步掌握可编程逻辑器件的性能特点,掌握MAX+PLUSII软件设计电子系统的方法,学会用VHDL语言去描述电子系统。
二、实验内容和占用学时的具体分配1、七人表决器4学时实验目的:学会用原理图来设计电路实验内容:用多个全加器采用组合电路实现一个七人表决器,当输入大于3时,输出为1。
实验设备:DXT-BII型EDA实验开发系统,FLEX10K可编程逻辑器件。
2、BCD码加法器4学时实验目的:熟悉原理图输入,掌握软件的仿真及时序分析实验内容:用组合逻辑电路实现BCD码加法器并用仿真文件验证设计的正确性。
实验设备:DXT-BII型EDA实验开发系统,FLEX10K可编程逻辑器件。
3、六十进制计数器4学时实验目的:熟悉原理图输入,掌握对设计电路的编辑,仿真、管脚分配下载各步骤。
实验内容:用时序逻辑电路实现六十进制计数器,并下载到器件中验证。
4、D 、JK 触发器4学时实验目的:设计D锁存器,JK触发器,掌握时序电路的几种描述语句。
实验内容:通过模拟和仿真分析验证两种触发器的功能。
实验设备:DXT-BII型EDA实验开发系统,FLEX10K可编程逻辑器件。
5、十二进制计数器4学时实验目的:用VHDL语言设计时序电路实验内容:写出十二进制计数器的程序,通过仿真观察波形,验证其正确性。
实验设备:DXT-BII型EDA实验开发系统,FLEX10K可编程逻辑器件。
6、数字钟:4学时实验目的:掌握层次化设计电路,熟悉预置计数器的描述方法,掌握VHDL 语言反馈信号的处理。
实验内容:用VHDL语言编写出12进制计数器模块,用原理图设计出60进制模块,画出顶层原理图,最后设计出数字钟,并进行下载验证其结果。
可编程逻辑器件实验讲义目录实验一 QuartusⅡ软件及EDA实验平台介绍 (1)实验二 QuartusⅡ文本设计输入 (4)实验三 QuartusⅡ混合设计输入 (5)实验四 D、T触发器 (7)实验五十六进制数码管显示 (8)实验六 3-8译码器设计 (10)实验七计数器的设计 (12)实验八数控分频器的设计 (13)实验九锁存器的设计 (15)实验十 4选1多路选择器 (17)实验十一循环彩灯控制器的设计 (19)实验一 QuartusⅡ软件及EDA实验平台介绍(1)实验目的:熟悉QuartusⅡ软件的使用,学习其操作过程及仿真过程。
(2)实验内容:学习使用QuartusⅡ对程序进行编辑输入、编译及仿真。
1、打开QuartusII软件。
2、选择路径。
选择 Project Wizard,指定工作目录,指定工程和顶层设计实体称;注意:工作目录名不能有中文。
3、添加设计文件。
将设计文件加入工程中。
单击“Next”,如果有已经建立好的VHDL或者原理图等文件可以在中选择路径然后添加,或者选择Add All 添加所有可以添加的设计文件(.VHDL ,.Verilog原理图等)。
如果没有直接点击“Next”,等建立好工程后再添加也可,这里我们暂不添加。
4、选择FPGA器件。
Family选择Cyclone,Available device选EP1C12Q240C8,点击“Next”。
5、选择外部综合器、仿真器和时序分析器。
Quartus II支持外部工具,可通过选中来指定工具的路径。
这里我们不做选择,默认使用Quartus II自带的工具。
6、结束设置。
单击“Next”,弹出“工程设置统计”窗口,上面列出了工程的相关设置情况。
最后单击“Finish”,结束工程设置。
7、建立VHDL原文件。
选择菜单“File” “New…”。
8、添加文件到工程中。
VHDL原文件编辑完后,选择,选择和工程相同的文件名。
点击“保存”,文件就被添加进工程当中。
可编程逻辑器件设计实验报告可编程逻辑器件设计实验报告实验背景近年来,随着计算机技术的飞速发展,可编程逻辑器件逐渐成为了计算机领域中不可或缺的一部分。
可编程逻辑器件是一种能够通过编程实现特定功能的电路器件,具有广泛的应用范围,如数字系统控制、数据处理、自动化控制等领域。
在本次实验中,我们将通过设计一个可编程逻辑器件,来加深对于逻辑电路和计算机原理的理解,并能够对数字系统进行控制和设计。
实验原理可编程逻辑器件设计的原理基本上是对于基本逻辑门的运算进行模拟,然后将模拟结果存储在器件中,再根据需要进行处理和操作。
本实验中我们采用了FPGA芯片,它具有可编程的逻辑门、可编程的触发器和可编程的连接关系等,能够在一个芯片中实现很多模块。
我们利用Verilog HDL语言,将逻辑模块设计成RTL级别的元件,并组成所需的逻辑电路,最后通过实验板实现电路的实际运行。
实验流程本次实验分为两部分:第一部分为单周期CPU实验,第二部分为五级流水线CPU实验。
1. 单周期CPU实验单周期CPU是指执行一个指令需要一个时钟周期的CPU。
本实验建立的CPU支持R-type、lw和sw三种指令。
具体的流程如下:① 取指令(instruction fetch,IF)从存储器中(ROM)读取指令并存入指令寄存器中。
② 指令译码(instruction decode,ID)将指令寄存器中存放的指令解析出来,识别出操作码和操作寄存器,并将后者中写入数据的数据读出。
③ 执行(execution,EX)根据操作码,将指令的两个操作数进行运算,并将运算结果写入数据写寄存器中。
④ 访存(memory access,MEM)从存储单元中读取或写入数据,具体包括load word(lw )指令和store word(sw)指令。
⑤ 写回(write back,WB)将执行结果写入指令寄存器。
完成后,程序会返回至IF状态,将下一条指令取入指令寄存器,可以进行下一轮操作。
可编程逻辑器件一、课程说明课程编号:420117Z10课程名称:可编程逻辑器件/ Application of Programmable Logic Device课程类别:专业教育课程学时/学分:48/3先修课程:数字电子技术适用专业:电气等相近专业教材、教学参考书:[1]EDA技术实用教程(第三版),潘松黄继业著,科学出版社,2006.09。
[2]CPLD系统设计入门与应用,黄正谨,清华大学出版社,2002;[3]CPLD技术与应用,宋万杰,西安电子科技大学出版社,2000;[4]EDA与数字系统设计,李国丽,机械工业出版社,2002;[5]EDA技术与Verilog设计, 王金明等,科学出版社,2008.8。
二、课程设置的目的意义本课程是电气等专业在电子技术方面选修课,是实践性很强的课程。
它主要介绍了VHDL 硬件描述语言和高密度可编程逻辑器件,用较多的学时让学生自己动手实验。
本课程的任务是使学生获得电子设计自动化(EDA) 的基本知识和基本技能,培养学生利用计算机辅助设计和用高密度可编程逻辑器件实现数字系统的能力,为以后深入学习和应用电子系统现代设计方法打好基础。
三、课程的基本要求1.知识要求①熟悉常用EDA工具包括MAXPLUSII、QuartusII的使用环境;②熟悉可编程逻辑器件CPLD、FPGA结构与工作原理;③掌握VHDL 的主要构件、数据类型和运算、行为和结构描述;④掌握VHDL基本语言结构与基本语句;⑤掌握VHDL基本设计方法及一般状态机的设计流程。
2.能力要求①能够熟练使用常用的EDA工具进行设计;②能够用VHDL 语言实现译码器、加法计数器等常用功能电路;③能够应用一般状态机对系统进行设计。
3.素质要求能够从事可编程器件的设计开发工程,其应用涉及到包括导弹制导与控制、导航制导、仿真、仪表与测试等军民两用领域。
四、教学内容、重点难点及教学设计注:实践包括实验、上机等五、实践教学内容和基本要求实验一:Quartus II软件使用(4学时)性质类型:验证性实验实验内容:①建立MUX41A的工程,利用VHDL语言设计多功能计数器的程序文件,并对其进行编辑,保存,综合。
《可编程逻辑器件》课程教学大纲一、课程基本信息课程代码:04130409课程名称:可编程逻辑器件课程英文名称:The Programmable Logic Device课程所属单位:电气信息工程系电气自动化教研室课程面向专业:电子及电气等电类专业课程类型:选修课先修课程:数字电路技术程序设计学分:1.5总学时:32(其中理论学时:16实验学时:16)二、课程性质与目的本课程是电子及电气专业的选修课程,大规模可编程器件及其开发工具是EDA技术的两大技术基础,通过该课程的学习可使学生掌握大规模可编程专用集成电路FPGA和CPLD的结构,熟悉一种硬件描述语言,掌握开发工具的使用,熟悉器件的设计及应用方法等,使未接受EDA实践的电类专业学生能迅速进入EDA 领域,掌握从事电子系统设计工作所必备的基本能力和技能,并通过EDA设计综合实例提高学生的EDA技术应用水平。
三、课程教学内容与要求课程内容提要(-)可编程逻辑器件的主要分类和工作原理基本要求:可编程逻辑器件的开展概况和分类阵列型可编程逻辑器件(PLD)现场可编程门阵列(FPGA)(-)可编程逻辑器件的一般设计流程基本要求:可编程逻辑器件的设计流程在系统可编程技术(三)可编程逻辑器件的产品构成及典型器件基本要求:1.Altera器件性能特点2.MAX7000系列器件3.FLEX10K系列器件4.APEX20K系列器件(四)开发工具MAX+PLUSII的使用基本要求:掌握开发工具的使用1. MAX+PLUSH基本操作2.进一步掌握MAX+PLUSII的高级用法重难点内容:能熟练用工具进行程序设计及仿真。
(五)硬件描述语言VHDL要点与实例基本要求:掌握硬件描述语言设计1.VHDL设计程序的基本结构2.VHDL语言的语法及方法重难点内容:如何把实际问题用VHDL语言进行行为描述。
四、学时分配学时分配表重点强调培养学生的动手设计能力,要求学生有一定的程序设计能力而且有较扎实的数字电路理论基础,对数字系统设计能够按模块划分进行层次设计,在设计中培养学生的分析问题解决问题的能力。
《VHDL与可编程逻辑器件》实验教学大纲
实验名称:VHDL与可编程逻辑器件实验
学时:24学时
适用专业:自动化、测控技术与仪器、电子信息工程、通信工程
执笔人:吴爱平、薛俊
审定人:佘新平
一、实验的目的与任务
通过本实验的训练,使学生对使用计算机和EDA工具进行电路的设计和仿真有一定的了解,让学生基本掌握EDA设计技术的基本过程和方法。
为以后从事数字电路的设计打下一定的实践基础。
二、教学基本要求
以提高学生实际工程设计能力为目的,通过实验,使学生熟悉一种EDA实验开发系统的使用;掌握VHDL语言的程序结构、基本描述语句及描述方法;熟练掌握可编程逻辑器件开发设计的方法和技巧。
掌握小型数字系统的VHDL设计技术,获得现代硬件数字电路的软件化设计方法。
三、实验项目与类型
实验一 EDA设计软件及实验平台的熟悉与使用 (2学时)
1、目的要求
熟悉EDA设计软件及实验平台的使用,掌握详细的CPLD/FPGA的设计流程。
2、方法原理
参考EDA软件使用说明书和EDA实验箱说明书,学会软件包使用和实验箱操作。
3、主要实验仪器及材料
实验箱(配备Altera公司的EP2C5下载板)。
4、掌握要点
掌握EDA软件设计流程,重点是图形编辑、文本编辑、时序编辑及编译、下载等操作方法。
5、实验内容
(1) 使用图形编辑方法设计一个1位的全加器;
(2) 使用文本编辑方法设计一个4输入端的与非门;
(3) 对以上两种方法设计的结果分别进行仿真;
(4) 将全加器和与非门分别下载到实验箱上,并验证结果; (5) 完成实验报告。
实验二 数字逻辑基本符号元件实验 (2学时) 1、目的要求
学会制作基本元件符号,为后面的实验打下基础。
2、方法原理
通过回顾数字电路的一些基本知识点,利用VHDL 语言或图形编辑方法设计基本的门电路、触发器、编码器,将其下载到实验箱上,用开关做输入控制,发光二极管做输出结果显示,验证结果是否正确,并将其生成符号文件,为以后层次设计做准备。
3、主要实验仪器及材料
实验箱(配备Altera 公司的EP2C5下载板)。
4、掌握要点
熟练设计数字电路中的各种基本单元器件。
5、实验内容
(1) 根据数字电路中的电路图原理设计采用图形编辑法和VHDL 语言设计基本门
电路;
(2) 使用VHDL 语言设计4线2线编码器;
(3) 将以上设计内容下载到实验箱,验证结果; (4) 将以上设计内容分别生成符号元件; (5) 完成实验报告。
实验三 组合逻辑电路设计实验 (2学时) 1、目的要求
掌握用VHDL 语言设计组合逻辑电路的各种方法。
2、方法原理
通过给定逻辑功能描述和逻辑表达式两种情况,分别使用VHDL 语言设计组合电路。
3、主要实验仪器及材料
实验箱(配备Altera 公司的EP2C5下载板)。
4、掌握要点
掌握组合逻辑电路的分析方法和VHDL 硬件描述语言中逻辑表达式的设计方法。
5、实验内容
(1) 分析七段代码译码器的功能,利用VHDL 语言设计;
(2) 根据逻辑表达式AD BCD AB Y ++=,利用VHDL 语言设计一个逻辑函数发生器;
(3) 将以上设计内容下载到实验箱,验证结果; (4) 完成实验报告。
实验四 时序逻辑电路设计实验 (2学时) 1、目的要求
了解时序电路的经典设计方法。
了解通用同步计数器、异步计数器的使用方法。
了解用同步计数器通过清零法和预置法得到循环任意进制计数器的设计方法。
2、方法原理
利用基本D 触发器、JK 触发器和一般逻辑门的符号元件,采用VHDL 语言设计各种功能的计数器。
3、主要实验仪器及材料
实验箱(配备Altera公司的EP2C5下载板)。
4、掌握要点
各种功能计数器的设计方法。
5、实验内容
(1) 用D触发器设计异步四位二进制加法计数器;
(2) 用JK 触发器设计异步四位二进制减法计数器;
(3) 用74LS161两个宏连接成八位二进制同步计数器;
(4)用74LS161清零和置数法组成六进制和十二进制计数器。
(5) 将以上设计内容下载到实验箱,验证结果;完成实验报告。
实验五数字钟设计 (8学时)
1、目的要求
掌握多位计数器相连的设计方法;掌握十进制、六十进制、二十四进制计数器的设计方法;掌握喇叭的驱动方法;掌握可编程逻辑器件的层次化设计方法。
2、方法原理
在同一块FPGA芯片EP2C5上设计集成了如下电路模块:
时钟计数:秒——60进制BCD码计数;
分——60进制BCD码计数;
时——24进制BCD码计数;
同时整个计数器有清零,调分,调时功能。
在接近整点时间能提供报时信号;有驱动8位七段共阴极扫描数码管的片选驱动信号输出和七段字行译码输出;喇叭在整点时有报时驱动信号产生。
3、主要实验仪器及材料
实验箱(配备Altera公司的EP2C5下载板)。
4、掌握要点
小型数字系统的层次化设计方法,特别是元件例化语句的使用方法。
5、实验内容
(1) 具有时、分、秒计数显示功能,以24小时循环计时;
(2) 具有清零,调节小时、分钟的功能;
(3) 具有整点报时功能;
(4) 下载到实验箱,验证设计结果;完成设计报告。
实验六交通灯控制电路设计 (8学时)
1、目的要求
学习小型数字系统的设计方法;掌握VHDL语言的层次化设计方法。
2、方法原理
能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态;用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。
能实现正常的倒计时功能以及用两组数码管作为东西和南北方向的倒计时显示。
3、主要实验仪器及材料
实验箱(配备Altera公司的EP2C5下载板)。
4、掌握要点
用VHDL语言设计符合上述功能要求的交通灯控制器,熟练掌握层次化设计方法。
5、实验内容
(1) 画出顶层原理图;
(2) 写出各功能模块的VHDL语言源程序;
(3) 仿真设计内容,对照各个灯的时序分析电路工作原理;
(4)下载到实验箱,验证设计结果;完成设计报告。
五、考核方法
实验操作60%,实验报告40%。
考核成绩以20%记入课程总成绩。
六、实验教学指导书和参考书
1.孙先松编,《可编程逻辑器件原理与应用实验》(自编实验讲义),2004年
2.江国强编著,《EDA技术与应用》,电子工业出版社,2004年。