当前位置:文档之家› 简单电子琴 外文文献

简单电子琴 外文文献

简单电子琴 外文文献
简单电子琴 外文文献

毕业设计(论文)外文资料翻译

系别:电子信息系

专业:通信工程

班级:B100308

姓名:董又银

学号:B10030802

外文出处:HighWire Press

附件: 1. 原文;2. 译文

2014年03月

外文翻译(英)

DESIGNING A DIGITAL SYSTEM WITH VHDL The digital systems are complex ones,consisting of lots of components.As far as the automated design of such systems is concerned, methods for designing time reducing and limiting the complexity of the task are sought out and applied.A method of the kind is connected with the decomposition and hierarchy principles.The decomposition of the systems is realized in a way,which differentiates functionally independent modules.

A digital system can be described as a module with inputs and/or outputs.The electrical values on the outputs are some function of the values on the inputs.

One way of describing the function of a module is to describe how it is composed of sub-modules.Each of the sub-modules is an instance of some entity,and the ports of the instances are connected using signal s.This kind of description is called a structural description.

In many cases,it is not suitable to describe the structure used.One element is a module,which is at the bottom of the hierarchy in other structures described.For example,if you are using store bought from IC IC package design a system,you do not need to describe the internal structure of the IC.In this case, the module performs the described function is required,without reference to its actual internal structure.This description is called the function or behavior description.

Usually,for structural and behavioral description,either Verilog or VHDL is used.In this paper a designing with VHDL is presented.Here are exposed sequentially all the phases of the very digital system's designing.The main methods are also on show here.The project descriptions,types are presented.The stress is put on the use of VHDL for synthesis of structural and behavioral models.Here are presented several VHDL models of computer systems’ components.

In digital systems design,and the design of complex systems,several commonly used methods:

Top- down design , bottom- up design

Bottom- down approach in the design direction of the system is usually established from the start at the bottom,the preparation module design,formed by the assembly after the entire product.

The advantage of this method is to use a functional block level to achieve a lower

level of the structure , process only error checking in the project during the simulation.

Top-down design starts with the highest level of specification.Thereafter,the program is broken down into functional blocks,and the ratio of the input and output time may be specified.Functional model is through behavioral model or registration level model description,followed by a simulation.

The advantages of this approach are:

Standardized tasks easier to implement;

Details need to deal with the structure,allowing the project to be checked at the institutional level;

Without regard to its implementation,inspection items to complete.Allows for late design projects to choose technology.

Since the most effective way is to use a top-down design and specify the order details the different levels of description summary description of the program.

The next stage of digital systems design experience:the specification,features, physical design and manufacturing,testing.

Through standardized product parameters to determine the correct goals.

By function design,electronic design,the reference product specifications to develop the product functions and parameters.

The behavior described in the stage of system solutions and conducted its entry and exit have been marked.In most cases,the use of VHDL https://www.doczj.com/doc/6f10699247.html,pleting the main function of the function block(electrical)are designed to https://www.doczj.com/doc/6f10699247.html,ually done by a detailed description of VHDL function blocks and checked by VHDL simulation.

With the increasing complexity of the project,at the structural level,synthesis techniques need to be applied.Program contains the logic elements it needs to be a comprehensive description through VHDL language.Detailed description of such charge through the logic delay elements is the key method is specified,and defines the problem of time discrete signal.

Physical design phase tightly dependent on technology.Deployment logic elements and definitions links between them(tracking) and common tasks are closely related.

Under the conditions of implementation of programmable logic devices,you can use the CPLD or FPGA chip,the result then it means that the physical design of the resource profile design of the selected device.

Test items represent some programmers use to provide:

In between projects and norms remain moderate;

mplementation of the technical aspects of the project selected.

The progressive realization of the design process usually includes pre-designed part has been,until obtaining the desired targets.

For the test,commonly used analog electrical design(product and its electrical parameters of the function)to carry out the task.

Before choosing its actual hybrid module,analog behavioral level defines how the product will run. For behavioral patterns,you should use a hardware description language(vhdl language,Verilog language and other languages).

Through simulation,at the level of the logical unit,from the basic logic elements "and not","NOR",converter and triggers to create a system,in order to identify its independence expected behavior.

In functional tests, he delay is not related to or similar to all of them is the logic elements.After identification of the physical design error.The next step after the last procedure described topology:Check the specifications during the production process;Check the authenticity of the project.Are to be specified for the manufacturing process of each specification.The purpose of the project is to ensure the verification of the authenticity of the normal working of the https://www.doczj.com/doc/6f10699247.html,prising:Identify the interconnection scheme;identify topological parasitic components.The design process,there are three types of design specifications can be used:behavior,structure,physical.Processing systems using behavioral description,the system is like a entrance and exit only"black box",regardless of its structure.The purpose is to ignore the redundant details,and is committed to a detailed description of the necessary features and specifications made products.At this stage,the use of HDL(hardware description language)-vhdl language,Verilog language and other languages.A description of the way the structure is defined as the way the system established.Here,the system configuration and the block to be processed is composed of their relationship,As well as a detailed description of the subsystem defined,is to provide its functionality and execution,and analysis of operating speed and charges.Schema can be used to describe the hardware description language , such as through electronic design.Design process and system description and specification details of its order conversion are closely linked.From the behavior description to the schema decomposition can achieve some level of the hierarchy.From the highest to

the lowest of these levels can be summarized as follows: the system level;functional level;logic level;plan level.

The highest level of the system,the algorithm description of its function represented by the system behavior.These functions are performed in order to calculate the system architecture includes a microprocessor,memory,motherboard and other structural components.

Lower levels of the system by Bolivia equation to describe the behavior of the system.Its implementation using logic elements and flip-flops.Once the entity has had its physical interface specified in the declaration,we can describe an entity or multiple architectures.Each module can be described as constituting a different view of the entity.Declaration defines the structure of the system will be used to describe the structural design of the project.Signal is used to connect the sub-module.The structure of the system can be described as a sub-module blocks.The module structure of a block unit,with its own interface,or connected to other blocks through the signal port.A signal allocation scheduler signal(or port)or more transactions.

Main Unit VHDL behavioral description language is a process.When activating multiple processes at the same time,they are performed simultaneously.

A process that can be used in a structure declaration or block.Statement can be used in the process is defined.A process that may include some signals given signal assignment statements,together constitute a signal driver.VHDL description write them in the design file.Then invoke the compiler analyze them and insert them into the library.Some VHDL language constructs can be included in the database were analyzed.These structures are called library units.Design files may contain some library unit.

Behavioral model represents the functional interpretation of digital system design.Digital hardware device is considered a tight system.Its behavior is described as a series of operations . These actions will be applied within the database system.By creating behavioral VHDL model,describing the operation of the signal through the process and their interconnection.

VHDL model is a means to reflect the structure of the project hierarchy.They establish the functional decomposition of a portion interconnected digital systems.These parts are shown as components and the interconnection between them through the signal.These signals are input, and can exit through the port assembly.Due to the increasing complexity of the project,on the logical schema element level

becomes difficult,or even impossible.Therefore,a higher level of abstraction description should allow the best results,such as improved features,size and price.

VHDL hardware description language is very suitable for this purpose,you can use the high-level behavioral description and a detailed description of the structure.VHDL language to develop specific programs to allow full functionality of the structure of the model can simulate,in order to assess its adequate specifications.Therefore,to ensure a higher quality project because errors and problems will soon be able to find at the start of the design process.

外文翻译(中)

VHDL实现数字系统的设计

数字系统都是由复杂的大量组件组成的。对这种系统的自动化设计而言,应该实现应用方法设计时间的减少,以及限制任务的复杂性。这种方法应遵循分解和层次结构的原则,在职能上能区分独立模块的方式,实现系统的分解。

数字系统可以作为输入和输出模块描述。对产出的电值是一些功能上输入的值。

描述一种模块函数的一种方法是描述组成它的子模块。每个子模块是某些实体的实例和实例的端口使用信号进行连接。这种描述方法被称为结构描述。

在许多情况下,是不适合用结构描述的。其中一个元件是一个模块,这是在其他一些结构描述的层次结构的底部的。例如,如果您正在用从IC商店购买的IC包设计一个系统,你不需要描述IC的内部结构。在这种情况下,说明模块执行的功能是必需的,而不提及它实际的内部结构。这样的描述被称为功能或行为描述。

通常,结构和行为的说明,需要Verilog或者VHDL语言。本文提供了超大规模集成电路的设计。在这里按顺序列出了数字系统设计的所有阶段,及其主要方法,介绍项目描述的类型,强调VHDL用于合成结构和行为模式。这里介绍几种VHDL模型的计算机系统组件。

在数字系统设计,以及设计的复杂系统中,通常使用的几个方法:

自顶向下设计,自底向上设计

自底向下的方法在通常从底部开始建立系统的设计方向,拟订模块的设计,通过组装以后形成整个产品。

此方法的优点是使用功能块级别来实现,结构级别较低,仅在项目中的错误检查模拟过程中处理。

自顶向下设计始于最高水平的规范。之后,该项目被分解为功能块,并且输入输出时间的比例可按需要指定。功能模型是通过行为模型或注册级别的模型描述的,随后进行模拟。

此方法的优点是:

任务的规范更容易实现;

无需处理结构的详细信息,即可允许项目在制度层面上进行检查;

不用考虑到其实现的技术,项目的检查就能完成。允许对设计项目的后期作出技术的选择。

自顶向下设计的最有效方法是该计划摘要说明的使用和指定顺序详细信息不同层次的描述。

数字系统设计经历的下一阶段:规范,功能,物理设计制造,测试。

通过规范的产品参数,从而确定所需的正确目标。

通过功能(电子)设计,电子设计,参照产品的规格来制定产品的函数和参数。

在行为阶段中为系统方案而进行的描述,其条目和出口已被标出。在大多数情况下,使用VHDL模型。主要功能块的完成需要功能(电)的设计来处理。通常由VHDL功能块的详细说明来完成,以及通过VHDL模拟进行检查。

随着项目的日益复杂,在结构层面上,需要应用合成技术。它需要包含逻辑元素的方案通过VHDL语言描述来进行综合。通过逻辑描述的详细信息如充电,元素的延误,是被指定的关键的方法,并定义了时间离散信号的问题。

物理设计阶段紧紧依赖于技术。逻辑元素的部署和它们之间联系的定义(跟踪)与常见任务紧密相关。

在可编程逻辑器件的实现的条件下,可以使用CPLD或FPGA芯片,然后物理设计的结果则表示了设计所选设备的资源配置文件。

测试项目代表了设计师使用的一些程序,用于提供:

在项目和规范之间保持适中;

在所选择的技术方面的项目执行。

设计过程通常逐步实现,包括已获得部分的预设计,直到获得预期的指标。

对于电气设计(产品和其电气参数的功能)任务的测试,常用模拟来进行。

在选择其实际的混合模块之前,行为层面上的模拟定义了产品将如何运行。对于行为模式,应使用硬件描述语言(vhdl语言、Verilog语言和其他语言)。

通过模拟,在逻辑单元的级别上,由基本逻辑元素“与非”、“或非”、转换器和触发器来建立系统,是为了找出与其预期行为的无关性。

在功能测试中,延迟是不相关,或者它们对于所有的逻辑元素来说类似。物理设计后的错误鉴定拓扑的最后阐述后进行下一步程序:

在制作过程中检查技术规范;检查项目的真实性。针对每个工艺过程的制造技术规范都是被指定的。项目真实性核查的目的是保证产品的正常工作。它包括:找出互连的方案;找出拓扑的寄生组件。设计过程中,有三种类型的设计说明可以使用:行为,结构,物理。用行为描述处理系统,系统就像是一种只有入口和出口的"黑匣子",而不顾其结构。目的是忽略冗余的详细信息,并致力于必要功能的详细说明,以及所做产品的规范。在这一阶段,使用VHDL(硬件描述语言)-vhdl语言、Verilog语言和其他语言。

结构的描述定义的方式为系统建立的方式。在这里,被处理的系统结构是由块和及其相互关系组成的。定义的子系统,是为提供其功能而执行的,以及分析运行速度,收费等,以及其详细说明。结构描述可以用硬件描述语言来描述,如通过电子设计。

设计过程与系统描述和其顺序详细信息规范的转换有紧密联系。从行为描述到结构描述进行分解,可实现层次结构中的某些级别。从最高到最低的这些级别可以概述为,如下所示:系统级别;功能级别;逻辑级别;计划级别。

系统的最高水平,描述其功能的算法由系统的行为所表示。这些函数被执行,以便计算系统的体系结构,包括微处理器、记忆、主板和其它结构组件。

系统较低的水平,由玻利维亚方程来描述系统的行为。其执行使用逻辑元素和触发器。一旦实体得到其实体声明中指定的接口,便可以描述实体的一个或多个体系结构。每个构成模块可以描述实体的不同视图。

体系结构体中的声明定义将用于构造设计描述的项目。信号用于连接子模块。体系结构体中的子模块可以描述为块。块是一个单位的模块结构,具有它自己的接口,通过信号连接到其他的块或端口。信号分配调度信号(或端口)的一个或多个事务。VHDL语言中行为描述的主单位是过程。当在同一时间激活多个进程时,它们同时执行。一个过程声明可用在一个结构体或块中。声明定义可以在进程内使用。一个进程可能包含一些给定信号的信号赋值语句,共同构成信号的驱动程序。

VHDL描述把它们写在设计文件中。随后调用编译器对其进行分析,并将它们插入到库中。一些VHDL语言构造,可分别列入库进行分析。这些结构称为库单元。设计文件可能包含一些库单元。

行为模型表示数字系统设计的功能解释。数字设备的硬件被认为是一种严密的系统。其行为被描述为一系列的操作。这些操作将应用系统的数据库内。通过行为VHDL模型的创建,操作的描述是通过进程以及它们之间的信号互连。

结构VHDL模型是反映项目层次结构的手段。他们建立的分解的功能上相互关联的部分数字系统的。这些部件显示为组件,并通过信号实现它们之间的互连。这些信号输入,并可通过端口退出组件。

由于项目复杂性的不断增加,在逻辑元素级别上的结构描述变得很难,甚至不可能。因此,更高的抽象级别描述应允许最佳结果,如完善、特色、大小和价格。

VHDL语言允许拟订的完整功能结构模型的专门计划,能模拟,以评估其足够的规格要求。因此,能保证更高质量项目,因为错误和问题在设计过程的开始后不久就能找到。

外文翻译(英)

DESIGNING A DIGITAL SYSTEM WITH VHDL The digital systems are complex ones,consisting of lots of components.As far as the automated design of such systems is concerned, methods for designing time reducing and limiting the complexity of the task are sought out and applied.A method of the kind is connected with the decomposition and hierarchy principles.The decomposition of the systems is realized in a way,which differentiates functionally independent modules.

A digital system can be described as a module with inputs and/or outputs.The electrical values on the outputs are some function of the values on the inputs.

One way of describing the function of a module is to describe how it is composed of sub-modules.Each of the sub-modules is an instance of some entity,and the ports of the instances are connected using signal s.This kind of description is called a structural description.

In many cases,it is not suitable to describe the structure used.One element is a module,which is at the bottom of the hierarchy in other structures described.For example,if you are using store bought from IC IC package design a system,you do not need to describe the internal structure of the IC.In this case, the module performs the described function is required,without reference to its actual internal structure.This description is called the function or behavior description.

Usually,for structural and behavioral description,either Verilog or VHDL is used.In this paper a designing with VHDL is presented.Here are exposed sequentially all the phases of the very digital system's designing.The main methods are also on show here.The project descriptions,types are presented.The stress is put on the use of VHDL for synthesis of structural and behavioral models.Here are presented several VHDL models of computer systems’ components.

In digital systems design,and the design of complex systems,several commonly used methods:

Top- down design , bottom- up design

Bottom- down approach in the design direction of the system is usually established from the start at the bottom,the preparation module design,formed by the assembly after the entire product.

The advantage of this method is to use a functional block level to achieve a lower

level of the structure , process only error checking in the project during the simulation.

Top-down design starts with the highest level of specification.Thereafter,the program is broken down into functional blocks,and the ratio of the input and output time may be specified.Functional model is through behavioral model or registration level model description,followed by a simulation.

The advantages of this approach are:

Standardized tasks easier to implement;

Details need to deal with the structure,allowing the project to be checked at the institutional level;

Without regard to its implementation,inspection items to complete.Allows for late design projects to choose technology.

Since the most effective way is to use a top-down design and specify the order details the different levels of description summary description of the program.

The next stage of digital systems design experience:the specification,features, physical design and manufacturing,testing.

Through standardized product parameters to determine the correct goals.

By function design,electronic design,the reference product specifications to develop the product functions and parameters.

The behavior described in the stage of system solutions and conducted its entry and exit have been marked.In most cases,the use of VHDL https://www.doczj.com/doc/6f10699247.html,pleting the main function of the function block(electrical)are designed to https://www.doczj.com/doc/6f10699247.html,ually done by a detailed description of VHDL function blocks and checked by VHDL simulation.

With the increasing complexity of the project,at the structural level,synthesis techniques need to be applied.Program contains the logic elements it needs to be a comprehensive description through VHDL language.Detailed description of such charge through the logic delay elements is the key method is specified,and defines the problem of time discrete signal.

Physical design phase tightly dependent on technology.Deployment logic elements and definitions links between them(tracking) and common tasks are closely related.

Under the conditions of implementation of programmable logic devices,you can use the CPLD or FPGA chip,the result then it means that the physical design of the resource profile design of the selected device.

Test items represent some programmers use to provide:

In between projects and norms remain moderate;

mplementation of the technical aspects of the project selected.

The progressive realization of the design process usually includes pre-designed part has been,until obtaining the desired targets.

For the test,commonly used analog electrical design(product and its electrical parameters of the function)to carry out the task.

Before choosing its actual hybrid module,analog behavioral level defines how the product will run. For behavioral patterns,you should use a hardware description language(vhdl language,Verilog language and other languages).

Through simulation,at the level of the logical unit,from the basic logic elements "and not","NOR",converter and triggers to create a system,in order to identify its independence expected behavior.

In functional tests, he delay is not related to or similar to all of them is the logic elements.After identification of the physical design error.The next step after the last procedure described topology:Check the specifications during the production process;Check the authenticity of the project.Are to be specified for the manufacturing process of each specification.The purpose of the project is to ensure the verification of the authenticity of the normal working of the https://www.doczj.com/doc/6f10699247.html,prising:Identify the interconnection scheme;identify topological parasitic components.The design process,there are three types of design specifications can be used:behavior,structure,physical.Processing systems using behavioral description,the system is like a entrance and exit only"black box",regardless of its structure.The purpose is to ignore the redundant details,and is committed to a detailed description of the necessary features and specifications made products.At this stage,the use of HDL(hardware description language)-vhdl language,Verilog language and other languages.A description of the way the structure is defined as the way the system established.Here,the system configuration and the block to be processed is composed of their relationship,As well as a detailed description of the subsystem defined,is to provide its functionality and execution,and analysis of operating speed and charges.Schema can be used to describe the hardware description language , such as through electronic design.Design process and system description and specification details of its order conversion are closely linked.From the behavior description to the schema decomposition can achieve some level of the hierarchy.From the highest to

the lowest of these levels can be summarized as follows: the system level;functional level;logic level;plan level.

The highest level of the system,the algorithm description of its function represented by the system behavior.These functions are performed in order to calculate the system architecture includes a microprocessor,memory,motherboard and other structural components.

Lower levels of the system by Bolivia equation to describe the behavior of the system.Its implementation using logic elements and flip-flops.Once the entity has had its physical interface specified in the declaration,we can describe an entity or multiple architectures.Each module can be described as constituting a different view of the entity.Declaration defines the structure of the system will be used to describe the structural design of the project.Signal is used to connect the sub-module.The structure of the system can be described as a sub-module blocks.The module structure of a block unit,with its own interface,or connected to other blocks through the signal port.A signal allocation scheduler signal(or port)or more transactions.

Main Unit VHDL behavioral description language is a process.When activating multiple processes at the same time,they are performed simultaneously.

A process that can be used in a structure declaration or block.Statement can be used in the process is defined.A process that may include some signals given signal assignment statements,together constitute a signal driver.VHDL description write them in the design file.Then invoke the compiler analyze them and insert them into the library.Some VHDL language constructs can be included in the database were analyzed.These structures are called library units.Design files may contain some library unit.

Behavioral model represents the functional interpretation of digital system design.Digital hardware device is considered a tight system.Its behavior is described as a series of operations . These actions will be applied within the database system.By creating behavioral VHDL model,describing the operation of the signal through the process and their interconnection.

VHDL model is a means to reflect the structure of the project hierarchy.They establish the functional decomposition of a portion interconnected digital systems.These parts are shown as components and the interconnection between them through the signal.These signals are input, and can exit through the port assembly.Due to the increasing complexity of the project,on the logical schema element level

becomes difficult,or even impossible.Therefore,a higher level of abstraction description should allow the best results,such as improved features,size and price.

VHDL hardware description language is very suitable for this purpose,you can use the high-level behavioral description and a detailed description of the structure.VHDL language to develop specific programs to allow full functionality of the structure of the model can simulate,in order to assess its adequate specifications.Therefore,to ensure a higher quality project because errors and problems will soon be able to find at the start of the design process.

机械手外文翻译 修改版

密级 分类号 编号 成绩 本科生毕业设计 (论文) 外文翻译 原文标题Simple Manipulator And The Control Of It 译文标题简易机械手及控制 作者所在系别机械工程系 作者所在专业xxxxx 作者所在班级xxxxxxxx 作者姓名xxxx 作者学号xxxxxx 指导教师姓名xxxxxx 指导教师职称副教授 完成时间2012 年02 月 北华航天工业学院教务处制

译文标题简易机械手及控制 原文标题 Simple Manipulator And The Control Of It 作者机电之家译名JDZJ国籍中国 原文出处机电之家 中文译文: 简易机械手及控制 随着社会生产不断进步和人们生活节奏不断加快,人们对生产效率也不断提出新要求。由于微电子技术和计算软、硬件技术的迅猛发展和现代控制理论的不断完善,使机械手技术快速发展,其中气动机械手系统由于其介质来源简便以及不污染环境、组件价格低廉、维修方便和系统安全可靠等特点,已渗透到工业领域的各个部门,在工业发展中占有重要地位。本文讲述的气动机械手有气控机械手、XY轴丝杠组、转盘机构、旋转基座等机械部分组成。主要作用是完成机械部件的搬运工作,能放置在各种不同的生产线或物流流水线中,使零件搬运、货物运输更快捷、便利。 一.四轴联动简易机械手的结构及动作过程 机械手结构如下图1所示,有气控机械手(1)、XY轴丝杠组(2)、转盘机构(3)、旋转基座(4)等组成。 图1.机械手结构 其运动控制方式为:(1)由伺服电机驱动可旋转角度为360°的气控机械手(有光电传感器确定起始0点);(2)由步进电机驱动丝杠组件使机械手沿X、Y轴移动(有x、y轴限位开关);(3)可回旋360°的转盘机构能带动机械手及丝杠组自由旋转(其电气拖动部分由直流电动机、光电编码器、接近开关等组成);(4)旋转基座主要支撑以上3部分;(5)气控机械手的张合由气压控制(充气时机械手抓紧,放气时机械手松开)。 其工作过程为:当货物到达时,机械手系统开始动作;步进电机控制开始向下

关于现代工业机械手外文文献翻译@中英文翻译@外文翻译

附录 About Modenr Industrial Manipulayor Robot is a type of mechantronics equipment which synthesizes the last research achievement of engine and precision engine, micro-electronics and computer, automation control and drive, sensor and message dispose and artificial intelligence and so on. With the development of economic and the demand for automation control, robot technology is developed quickly and all types of the robots products are come into being. The practicality use of robot not only solves the problems which are difficult to operate for human being, but also advances the industrial automation program. Modern industrial robots are true marvels of engineering. A robot the size of a person can easily carry a load over one hundred pounds and move it very quickly with a repeatability of 0.006inches. Furthermore these robots can do that 24hours a day for years on end with no failures whatsoever. Though they are reprogrammable, in many applications they are programmed once and then repeat that exact same task for years. At present, the research and development of robot involves several kinds of technology and the robot system configuration is so complex that the cost at large is high which to a certain extent limit the robot abroad use. To development economic practicality and high reliability robot system will be value to robot social application and economy development. With he rapid progress with the control economy and expanding of the modern cities, the let of sewage is increasing quickly; with the development of modern technology and the enhancement of consciousness about environment reserve, more and more people realized

机械手设计英文参考文献原文翻译

机械手设计英文参考文 献原文翻译 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】

翻译人:王墨墨山东科技大学 文献题目:Automated Calibration of Robot Coordinates for Reconfigurable Assembly Systems 翻译正文如下: 针对可重构装配系统的机器人协调性的自动校准 T.艾利,Y.米达,H.菊地,M.雪松 日本东京大学,机械研究院,精密工程部 摘要 为了实现流水工作线更高的可重构性,以必要设备如机器人的快速插入插出为研究目的。当一种新的设备被装配到流水工作线时,应使其具备校准系统。该研究使用两台电荷耦合摄像机,基于直接线性变换法,致力于研究一种相对位置/相对方位的自动化校准系统。摄像机被随机放置,然后对每一个机械手执行一组动作。通过摄像机检测机械手动作,就能捕捉到两台机器人的相对位置。最佳的结果精度为均方根值毫米。 关键词: 装配,校准,机器人 1 介绍 21世纪新的制造系统需要具备新的生产能力,如可重用性,可拓展性,敏捷性以及可重构性 [1]。系统配置的低成本转变,能够使系统应对可预见的以及不可预见的市场波动。关于组装系统,许多研究者提出了分散的方法来实现可重构性[2][3]。他们中的大多数都是基于主体的系统,主体逐一协同以建立一种新的

配置。然而,协同只是目的的一部分。在现实生产系统中,例如工作空间这类物理问题应当被有效解决。 为了实现更高的可重构性,一些研究人员不顾昂贵的造价,开发出了特殊的均匀单元[4][5][6]。作者为装配单元提出了一种自律分散型机器人系统,包含多样化的传统设备[7][8]。该系统可以从一个系统添加/删除装配设备,亦或是添加/删除装配设备到另一个系统;它通过协同作用,合理地解决了工作空间的冲突问题。我们可以把该功能称为“插入与生产”。 表1:合作所需的调节和量度 在重构过程中,校准的装配机器人是非常重要的。这是因为,需要用它们来测量相关主体的特征,以便在物理主体之间建立良好的协作关系。这一调整必须要达到表1中所列到的多种标准要求。受力单元和方向的调整是不可避免的,以便使良好的协同控制得以实现。从几何标准上看,位置校准是最基本的部分。一般来说,校准被理解为“绝对”,即,关于特定的领域框架;或者“相对”,即,关于另一个机器人的基本框架。后者被称为“机器人之间的校准”。 个体机器人的校准已被广泛研究过了。例如,运动参数的识别就非常受欢迎。然而,很少有对机器人之间校准的研究。玉木等人是用一种基于标记的方法,在一个可重构的装配单元内,校准机器人桌子和移动机械手之间的相互位置/方向联系。波尼兹和夏发表了一种校准方法。该方法通过两个机械手的机械接触来实现,实验非常耗时,并要求特别小心地操作。

工业机械手外文翻译

英文翻译 工业机械手可以代替人手的繁重劳动,显著减轻工人的劳动强度,提高劳动生产率和自动化水平。工业生产中经常出现的笨重工件的搬运和长期频繁,单调的操作,如果没有机械手那么工人的劳动强度是很高的,有时候还要用行车员工件,生产速度大大延缓,这种情况采用机械手是很有效的。此外,它能在高温、低温、深水、宇宙、反射性和其他有毒、有污染环境条件上进行操作。更显其优越性,有着广阔的发展前途。 可编程控制器是60年代末在美国首先出现,当时叫可编程逻辑控制器PLC(Programmable Logic Controller),目的是用来取代继电器,以执行逻辑判断、计时、计数等顺序控制功能。PLC的基本设计思想是把计算机功能完善、灵活、通用等优点和继电器控制系统的简单易懂、操作方便、价格便宜等优点结合起来,控制器的硬件是标准的、通用的。根据实际应用对象,将控制内容编成软件写入控制器的用户程序存储器内。控制器和被控对象连接方便。 随着半导体技术,尤其是微处理器和微型计算机技术的发展,到70年代中期以后,PLC已广泛地使用微处理器作为中央处理器,输入输出模块和外围电路也都采用了中、大规模甚至超大规模的集成电路,这时的PLC已不再是逻辑判断功能,还同时具有数据处理、PID调节和数据通信功能。 可编程控制器是一种数字运算操作的电子系统,专为在工业环境下应用而设计。它采用了可编程序的存储器,用来在其内部存储执行逻辑运算,顺序控制、定时、计算和算术运算等操作的指令,并通过数字式和模拟式的输入输出,控制各种类型的机械或生产过程。PLC 是微机技术与传统的继电接触控制技术相结合的产物,它克服了继电接触控制系统中机械触点的接线复杂、可靠性低、功耗高、通用性和灵活性差的缺点,充分利用微处理器的优点。 可编程控制器对用户来说,是一种无触点设备,改变程序即可改变生产工艺,因此可在初步设计阶段选用可编程控制器,在实施阶段

中英文文献翻译-机械手

附录 Manipulator Robot developed in recent decades as high-tech automated production equipment. Industrial robot is an important branch of industrial robots. It features can be programmed to perform tasks in a variety of expectations, in both structure and performance advantages of their own people and machines, in particular, reflects the people's intelligence and adaptability. The accuracy of robot operations and a variety of environments the ability to complete the work in the field of national economy and there are broad prospects for development. With the development of industrial automation, there has been CNC machining center, it is in reducing labor intensity, while greatly improved labor productivity. However, the upper and lower common in CNC machining processes material, usually still use manual or traditional relay-controlled semi-automatic device. The former time-consuming and labor intensive, inefficient; the latter due to design complexity, require more relays, wiring complexity, vulnerability to body vibration interference, while the existence of poor reliability, fault more maintenance problems and other issues. Programmable Logic

机械手相关的外文文献

附件一: A Rapidly Deployable Manipulator System Author:Christiaan J.J.Paredis,H.Benjamin Brown,Pradeep K.Khosla Abstract: A rapidly deployable manipulator system combines the flexibility of reconfigurable modular hardware with modular programming tools,allowing the user to rapidly create a manipulator which is custom-tailored for a given task.This article describes two main aspects of such a system,namely,the Reconfigurable Modular Manipulator System(RMMS)hardware and the corresponding control software. 1 Introduction Robot manipulators can be easily reprogrammed to perform different tasks,yet the range of tasks that can be performed by a manipulator is limited by mechanicalstructure.Forexample,a manipulator well-suited for precise movement across the top of a table would probably no be capable of lifting heavy objects in the vertical direction.Therefore,to perform a given task,one needs to choose a manipulator with an appropriate mechanical structure. We propose the concept of a rapidly deployable manipulator system to address the above mentioned shortcomings of fixed configuration manipulators.As is illustrated in Figure 1,a rapidly deployable manipulator system consists of software and hardware that allow the user to rapidly build and program a manipulator which is customtailored for a given task. The central building block of a rapidly deployable system is a Reconfigurable Modular Manipulator System(RMMS).The RMMS utilizes a stock of interchangeable link and joint modules of various sizes and performance specifications.One such module is shown in Figure 2.By combining these general purpose modules,a wide range of special purpose manipulators can be assembled.Recently,there has been considerable interest in the idea of modular manipulators,for research applications as well as for industrial applications.However,most of these systems lack the property of reconfigurability,which is key to the concept of rapidly deployable systems.The RMMS is particularly easy to reconfigure thanks to its integrated quick-coupling connectors described in Section 3.

机械手外文翻译

机械手外文翻译 . Manipulator Along with our country the rapid development of industrial production, rapidly improve degree of automation, implementation artifacts of handling, steering, transmission or toil for welding gun, spray gun, spanner and other tools for processing, assembly operations such as automation, should cause the attention of people more and more. Manipulator is to imitate the people part of the action, according to a given program, track and demanding acquirement, handling or operation of the automatic device. Applied in the industrial production of the manipulator is referred to as "industrial manipulator". Application manipulator can improve the automation of production water in production and labor productivity; Can reduce labor fatigue strength, to ensure product quality, implement safety production; Especially in high temperature and high pressure, low temperature, low pressure, dust, explosive, toxic and radioactive gases such as harsh environment, it instead of people normal work, the more significant. Therefore, in the machining, casting, welding, heat treatment, electroplating, spray painting, assembly, and light industry, transportation industry get more and more extensive application, etc.

机械手外文文献及翻译

English Robot developed in recent decades as high-tech automated production equipment. Industrial robot is an important branch of industrial robots. It features can be programmed to perform tasks in a variety of expectations, in both structure and performance advantages of their own people and machines, in particular, reflects the people's intelligence and adaptability. The accuracy of robot operations and a variety of environments the ability to complete the work in the field of national economy and there are broad prospects for development. With the development of industrial automation, there has been CNC machining center, it is in reducing labor intensity, while greatly improved labor productivity. However, the upper and lower common in CNC machining processes material, usually still use manual or traditional relay-controlled semi-automatic device. The former time-consuming and labor intensive, inefficient; the latter due to design complexity, require more relays, wiring complexity, vulnerability to body vibration interference, while the existence of poor reliability, fault more maintenance problems and other issues. Programmable Logic Controller PLC-controlled robot control system for materials up and down movement is simple, circuit design is reasonable, with a strong anti-jamming capability, ensuring the system's reliability, reduced maintenance rate, and improve work efficiency. Robot technology related to mechanics, mechanics, electrical hydraulic technology, automatic control technology, sensor technology and computer technology and other fields of science, is a cross-disciplinary integrated technology. First, An overview of industrial manipulator Robot is a kind of positioning control can be automated and can be re-programmed to change in multi-functional machine, which has multiple

PLC机械手控制系统文献综述(包括外文翻译)

基于PLC的机械手控制系统 机械工业是国民的装备部,是为国民经济提供装备和为人民生活提供耐用消费品的产业。机械工业的规模和技术水平是衡量国家经济实力和科学技术水平的重要标志。因此,世界各国都把发展机械工业作为发展本国经济的战略重点之一(张志献,2002)。新世纪,生产水平及科学技术的不断进步与发展带动了整个机械工业的快速发展。现代工业中,生产过程的机械化,自动化已成为突出的主题。然而在机械工业中,加工、装配等生产是不连续的。单靠人力将这些不连续的牛产工序衔接起来,不仅费时而且效率不高。同时人的劳动强度非常大,有时还会出现失误及伤害。显然,这严重影响制约了整个生产过程的效率和自动化程度。机械手的应用很好的解决了这一情况,它不存在重复的偶然失误,也能有效的避免了人身事故(杨永清等,2008)。 在机械工业中,机械手的应用具有以下意义。 应用机械手,有利于提高材料的传送、工件的装卸、刀具的更换以及机器的装配等的自动化程度,从而可以提高劳动生产率,降低生产成本,加快实现工业生产机械化和自动化的步伐。 在高温、高压、低温、低压、有灰尘、噪声、臭味、有放射性或有其它毒性污染以及工作空间狭窄等场合中,用人手直接操作是有危险或根本不可能的。而应用机械手即可部分或全部代替人安全地完成作业,大大地改善了工人的劳动条件。同时,在一些动作简单但又重复作业的操作中,以机械手代替人手进行工作,可以避免由于操作疲劳或疏忽而造成的人身事故。 应用机械手代替人手进行工作,这是直接减少人力的一个侧面,同时由于应用机械手可以连续地工作,这是减少人力的另一个侧面。因此,在自动化机床和综合加工自动生产线上目前几乎都设有机械手,以减少人力和更准确地控制生产的节拍,便于有节奏地进行生产。 随着现代工业技术的发展,工业自动化技术也越来越高,工人工作环境和工作内容也要求理想化简单化,对于一些往复的工作由机械手远程控制或自动完成显得非常重要。这样可以避免一些人不能接触的物质对人体造成伤害,如冶金、化工、医药、航空航天等。对于机械手的研究国内外的水平不一,但代表当今最先进的技术在日本,他的自动化,人性化令人叹为观止,这些技术依赖于控制理论、新材料科学,它是融合各种尖端技术的现代机器。我国也陆续在工业中有所应用,对于自动控制,柔性制造系统中应用更为广泛,但我国的自动化水平有待提高,只相当于世界先进技术在八十年代的水平。随着工业现代化的发展,机械手技术也随之提高,发展的趋势是工作强度高,灵活性强,准确可靠,可以自动检测并下达动作命令,融入先进的人工智能,使人只作平时的简单的维护,这也是现代工厂的发展趋势。 目前国内机械手主要用于机床加工、铸锻、热处理等方面,数量、品种、性能方面都不能满足工业生产发展的需要。所以,在国内主要是逐步扩大应用范围,重点发展铸造、热处理方面的机械手,以减轻劳动强度,改善作业条件,在应用专用机械手的同时,相应的发展通用机械手,有条件的还要研制示教式机械手、计算机控制机械手和组合机械手等。同时要提高速度,减少冲击,正确定位,以便更好的发挥机械手的作用。此外还应大力研究伺服型、记忆再现型,以及具有

机械臂的外文文献以及翻译

附件1:外文资料翻译译文 机械手 机械手是近几十年发展起来的一种高科技自动化生产设备。工业机械手是工业机器人的一个重要分支。它的特点是可通过编程来完成各种预期的作业任务,在构造和性能上兼有人和机器各自的优点,尤其体现了人的智能和适应性。机械手作业的准确性和各种环境中完成作业的能力,在国民经济各领域有着广阔的发展前景。随着工业自动化的发展, 出现了数控加工中心,它在减轻工人的劳动强度的同时, 大大提高了劳动生产率。但数控加工中常见的上下料工序, 通常仍采用人工操作或传统继电器控制的半自动化装置。前者费时费工、效率低; 后者因设计复杂, 需较多继电器,接线繁杂, 易受车体振动干扰,而存在可靠性差、故障多、维修困难等问题。可编程序控制器PLC控制的上下料机械手控制系统动作简便、线路设计合理、具有较强的抗干扰能力, 保证了系统运行的可靠性,降低了维修率, 提高了工作效率。机械手技术涉及到力学、机械学、电气液压技术、自动控制技术、传感器技术和计算机技术等科学领域,是一门跨学科综合技术。一、工业机械手的概述 机械手是一种能自动化定位控制并可重新编程序以变动的多功能机器,它有多个自由度,可用来搬运物体以完成在各个不同环境中工作。在工资水平较低的中国,塑料制品行业尽管仍属于劳动力密集型,机械手的使用已经越来越普及。那些电子和汽车业的欧美跨国公司很早就在它们设在中国的工厂中引进了自动化生产。但现在的变化是那些分布在工业密集的华南、华东沿海地区的中国本土塑料加工厂也开始对机械手表现出越来越浓厚的兴趣,因为他们要面对工人流失率高,以及为工人交工伤费带来的挑战。 随着我国工业生产的飞跃发展,特别是改革开发以后,自动化程度的迅速提高,实现工件的装卸、转向、输送或操作钎焊、喷枪、扳手等工具进行加工、装配等作业自化,已愈来愈引起我们重视。 机械手是模仿着人手的部分动作,按给定的程序、轨迹和要求实现自动抓取、搬运或操作的自动机械装置。 在现实生活中,你是否会发现这样一个问题。在机械工厂里,加工零件装料的时候是不是很烦的,劳动生产率不高,生产成本大,有时候还会发生一些人为

机械手 外文翻译

机械手外文翻译 山东英才学院 毕业设计(论文)外文资料翻译 机械学院学院 机械设计制造及其自动化专业 学生姓名 班级学号 《自动化》2013.1 经验与技术探讨外文出处 附件:1.外文资料翻译译文,2.外文原文 指导教师评价: 1(翻译内容与课题的结合度: ? 优 ? 良 ? 中 ? 差 2(翻译内容的准确、流畅: ? 优 ? 良 ? 中 ? 差 3(专业词汇翻译的准确性: ? 优 ? 良 ? 中 ? 差 4(翻译字符数是否符合规定要求:? 符合 ? 不符合 指导教师签名: 年月日 机械手 机械手是近几十年发展起来的一种高科技自动化生产设备。工业机械手是工业机器人的一个重要分支。它的特点是可通过编程来完成各种预期的作业任务,在构造和性能上兼有人和机器各自的优点,尤其体现了人的智能和适应性。机械手作业的准确性和各种环境中完成作业的能力,在国民经济各领域有着广阔的发展前景。随着工业自动化的发展, 出现了数控加工中心,它在减轻工人的劳动强度的同时, 大大提高了劳动生产率。但数控加工中常见的上下料工序, 通常仍采用人工操作或传统继电器控制的半自动化装置。前者费时费工、效率低; 后者因设计复杂, 需较

多继电器,接线繁杂, 易受车体振动干扰,而存在可靠性差、故障多、维修困难等问题。可编程序控制器PLC控制的上下料机械手控制系统动作简便、线路设计合理、具有较强的抗干扰能力, 保证了系统运行的可靠性,降低了维修率, 提高了工作效率。机械手技术涉及到力学、机械学、电气液压技术、自动控制技术、传感器技术和计算机技术等科学领域,是一门跨学科综合技术。 一、工业机械手的概述 机械手是一种能自动化定位控制并可重新编程序以变动的多功能机器,它有多个自由度,可用来搬运物体以完成在各个不同环境中工作。在工资水平较低的中国,塑料制品行业尽管仍属于劳动力密集型,机械手的使用已经越来越普及。那些电子和汽车业的欧美跨国公司很早就在它们设在中国的工厂中引进了自动化生产。但现在的变化是那些分布在工业密集的华南、华东沿海地区的中国本土塑料加工厂也开始对机械手表现出越来越浓厚的兴趣,因为他们要面对工人流失率高,以及为工人交工伤费带来的挑战。 随着我国工业生产的飞跃发展,特别是改革开发以后,自动化程度的迅速提高,实现工件的装卸、转向、输送或操作钎焊、喷枪、扳手等工具进行加工、装配等作业自化,已愈来愈引起我们重视。 机械手是模仿着人手的部分动作,按给定的程序、轨迹和要求实现自动抓取、搬运或操作的自动机械装置。 在现实生活中,你是否会发现这样一个问题。在机械工厂里,加工零件装料的时候是不是很烦的,劳动生产率不高,生产成本大,有时候还会发生一些人为事故,导致加工者受伤。想想看用什么可以来代替呢,加工的时候只要有几个人巡视一下,且可以二十四个小时饱和运作,人行吗,回答是肯定的,但是机械手可以来代替它。

机械手设计英文参考文献原文翻译

翻译人:王墨墨山东科技大学 文献题目:Automated Calibration of Robot Coordinates for Reconfigurable Assembly Systems 翻译正文如下: 针对可重构装配系统的机器人协调性的自动校准 T.艾利,Y.米达,H.菊地,M.雪松 日本东京大学,机械研究院,精密工程部 摘要 为了实现流水工作线更高的可重构性,以必要设备如机器人的快速插入插出为研究目的。当一种新的设备被装配到流水工作线时,应使其具备校准系统。该研究使用两台电荷耦合摄像机,基于直接线性变换法,致力于研究一种相对位置/相对方位的自动化校准系统。摄像机被随机放置,然后对每一个机械手执行一组动作。通过摄像机检测机械手动作,就能捕捉到两台机器人的相对位置。最佳的结果精度为均方根值0.16毫米。 关键词: 装配,校准,机器人 1 介绍 21世纪新的制造系统需要具备新的生产能力,如可重用性,可拓展性,敏捷性以及可重构性[1]。系统配置的低成本转变,能够使系统应对可预见的以及不可预见的市场波动。关于组装系统,许多研究者提出了分散的方法来实现可重构性[2][3]。他们中的大多数都是基于主体的系统,主体逐一协同以建立一种新的配置。然而,协同只是目的的一部分。在现实生产系统中,例如工作空间这类物理问题应当被有效解决。 为了实现更高的可重构性,一些研究人员不顾昂贵的造价,开发出了特殊的均匀单元[4][5][6]。作者为装配单元提出了一种自律分散型机器人系统,包含多样化的传统设备[7][8]。该系统可以从一个系统添加/删除装配设备,亦或是添加/删除装配设备到另一个系统;它通过协同作用,合理地解决了工作空间的冲突问题。我们可以把该功能称为“插入与生产”。 表1:合作所需的调节和量度 标准例子 任务标准人物,工具,语言方面的知识 报告标准草案,结果 几何标准地图,坐标系统,机械功能/尺寸 物理标准单元和方向(力,速度,电势等),时间 在重构过程中,校准的装配机器人是非常重要的。这是因为,需要用它们来测量相关主体的特征,以便在物理主体之间建立良好的协作关系。这一调整必须要达到表1中所列到的多种

机械手外文文献翻译、中英文翻译、外文翻译

外文原文 Manipulator Robot developed in recent decades as high-tech automated production equipment. Industrial robot is an important branch of industrial robots. It features can be programmed to perform tasks in a variety of expectations, in both structure and performance advantages of their own people and machines, in particular, reflects the people's intelligence and adaptability. The accuracy of robot operations and a variety of environments the ability to complete the work in the field of national economy and there are broad prospects for development. With the development of industrial automation, there has been CNC machining center, it is in reducing labor intensity, while greatly improved labor productivity. However, the upper and lower common in CNC machining processes material, usually still use manual or traditional relay-controlled semi-automatic device. The former time-consuming and labor intensive, inefficient; the latter due to design complexity, require more relays, wiring complexity, vulnerability to body vibration interference, while the existence of poor reliability, fault more maintenance problems and other issues. Programmable Logic Controller PLC-controlled robot control system for materials up and down movement is simple, circuit design is reasonable, with a strong anti-jamming capability, ensuring the system's reliability, reduced maintenance rate, and improve work efficiency. Robot technology related to mechanics, mechanics, electrical hydraulic technology, automatic control technology, sensor technology and computer technology and other fields of science, is a cross-disciplinary integrated technology. First, an overview of industrial manipulator Robot is a kind of positioning control can be automated and can be re-programmed to change in multi-functional machine, which has multiple degrees of freedom can be used to carry an object in order to complete the work in different environments. Low wages in China, plastic products

机械手外文翻译(英)

The Effect of a Viscous Coupling Used as a Front-Wheel Drive Limited-Slip Differential on Vehicle Traction and Handling 1 ABCTRACT The viscous coupling is known mainly as a driveline component in four wheel drive vehicles. Developments in recent years, however, point toward the probability that this device will become a major player in mainstream front-wheel drive application. Production application in European and Japanese front-wheel drive cars have demonstrated that viscous couplings provide substantial improvements not only in traction on slippery surfaces but also in handing and stability even under normal driving conditions. This paper presents a serious of proving ground tests which investigate the effects of a viscous coupling in a front-wheel drive vehicle on traction and handing. Testing demonstrates substantial traction improvements while only slightly influencing steering torque. Factors affecting this steering torque in front-wheel drive vehicles during straight line driving are described. Key vehicle design parameters are identified which greatly influence the compatibility of limited-slip differentials in front-wheel drive vehicles. Cornering tests show the influence of the viscous coupling on the self steering behavior of a front-wheel drive vehicle. Further testing demonstrates that a vehicle with a viscous limited-slip differential exhibits an improved stability under acceleration and throttle-off maneuvers during cornering. 2 THE VISCOUS COUPLING The viscous coupling is a well known component in drivetrains. In this paper only a short summary of its basic function and principle shall be given. The viscous coupling operates according to the principle of fluid friction, and is thus dependent on speed difference. As shown in Figure 1 the viscous coupling has slip controlling properties in contrast to torque sensing systems. This means that the drive torque which is transmitted to the front wheels is automatically controlled in the sense of an optimized torque distribution. In a front-wheel drive vehicle the viscous coupling can be installed inside the differential or externally on an intermediate shaft. The external solution is shown in Figure 2. This layout has some significant advantages over the internal solution. First,

相关主题
文本预览
相关文档 最新文档