《电子产品设计与制作》作业01
- 格式:doc
- 大小:770.00 KB
- 文档页数:15
电子产品设计作业指导书一、作业简介本次电子产品设计作业旨在让学生们通过实践,掌握电子产品的设计思路和方法,培养他们的创新意识和动手能力。
学生们需要设计并实现一款具有特定功能的电子产品原型,并撰写相应的设计报告。
二、作业要求1. 选择一个电子产品的应用场景,并明确产品的功能和特点。
2. 进行市场调研和竞品分析,了解目标用户需求和市场现状。
3. 设计产品的硬件结构和电路连接,确定所需元器件和传感器。
4. 利用相应的设计软件绘制产品的外观和尺寸,并输出产品的三维模型。
5. 使用适当的编程语言对产品进行编程,实现功能设计。
6. 制作产品的物理模型或原型,确保其外观和功能的一致性。
7. 针对产品的设计过程和实现结果撰写设计报告,包括市场调研、设计思路、技术选型和展示效果等部分。
三、作业流程1. 确定电子产品的应用场景和功能。
2. 进行市场调研和竞品分析,收集所需信息。
3. 绘制产品的概念草图,确定产品的外观尺寸和连接方式。
4. 设计产品的电路结构,选择合适的元器件和传感器。
5. 使用设计软件绘制产品外观和尺寸,生成三维模型。
6. 编写产品的控制程序,实现功能设计。
7. 制作产品的物理模型或原型。
8. 撰写设计报告,包括市场调研、设计思路、技术选型和展示效果等内容。
四、作业评分标准1. 电子产品的应用场景和功能是否明确,是否符合市场需求。
2. 设计过程是否科学合理,是否考虑到产品的外观、电路和编程等方面。
3. 产品的硬件结构是否合理可行,所选元器件和传感器是否适用。
4. 产品的外观设计是否美观大方,与概念草图和三维模型一致。
5. 产品的功能是否完整,编程是否达到预期效果。
6. 实物模型或原型是否精心制作,外观和功能是否与设计一致。
7. 设计报告是否详尽全面,语言是否通顺流畅,结构是否合理。
8. 学生在设计过程中的创新程度和团队合作能力。
五、注意事项1. 确保作业的独立完成,不得抄袭他人作品。
2. 提前预留足够的时间进行市场调研和竞品分析。
《电子产品设计与制作实训》报告(参考样本)电子产品设计与制作实训报告一、实验目的本实训的主要目的是培养学生的电子设计、制作和调试能力,提升其实际操作技能。
通过本实训,学生可以了解和掌握基本电子零件的工作原理、特点以及常见的电路设计方法,为以后的电子设计、制造和维修打下基础。
二、实验内容1. 熟悉基本电子元器件的使用和特性;2. 掌握数字电路和模拟电路的设计方法;3. 学习PCB设计和制作;4. 实践多种电子电路实验,如数码管计数器、LED闪光灯、音乐电路、电子钟等;5. 实际进行电路的调试和优化。
三、实验步骤1. 学习基本的电子元件的理论知识和实际操作方法,掌握使用数字万用表的方法,并熟悉使用示波器;2. 熟悉数字电路和模拟电路的设计方法,绘制相关电路图和PCB图;3. 使用电脑辅助设计软件制作PCB板,进行曝光、腐蚀、钻孔等工作;4. 根据电路图和PCB图,进行元器件的焊接和电路的组装,完成电路的搭建;5. 进行电路的调试和优化,测试电路效果是否符合预期,按照实验要求进行记录。
四、实验结果通过本实训,我深入了解了基本的电子元件的使用和特性,掌握了数字电路和模拟电路的设计方法,并熟悉了PCB板的制作和组装方法。
在实际操作中,我能独立完成电路的设计、调试、组装和调试,并掌握了多项电路实验技能,如数码管计数器、LED闪光灯、音乐电路、电子钟等。
五、实验感想本次实习经历让我从理论知识到实际操作中均获得了不少收获,不仅深化了我对电子电路的认识,而且提高了我的动手实践能力和解决问题的能力。
在未来的学习和工作中,我会继续努力,学以致用,深化自己的电子技术知识和实践技能,为电子行业做出更大的贡献。
题目:智能台灯一、任务1.参考附件2电路图及提供的元器件(元器件清单见附件1),完整地设计并制作一台智能台灯。
2.分析电路工作原理,完成电路设计。
3.利用Protel99SE软件绘制电路图,按要求设计PCB(也可使用DXP 版本的软件绘制电路图及PCB图,但必须转换成Protel99SE的格式,建议转换后用Protel99SE打开文件,看是否正常)。
4.完成简单的结构设计,包括变压器、电路板、按键、LED灯安装及走线规划。
5.完成电路组装及调试。
6.完成整机的安装与调试,使其达到规定的技术指标。
7.编写设计文件、生产工艺文件、产品说明书。
二、技术指标1.该产品由220V交流电直接供电。
通过POWER键可以开启/关闭台灯。
2.该产品有两种工作状态(AUTO/MENU),通过“AUTO/MENU”键切换,在MENU状态时,可通过“+”键及“-”键调整台灯的亮度,并利用超声波传感器测量用户与台灯的距离,当距离小于30cm时,通过控制灯光闪烁(闪烁频率为每秒钟3次左右)提醒用户注意保持与台灯的距离;在AUTO状态时,除具备有MENU状态相同功能外,还具备室内是否有人检测的功能,当室内没有人时,自动关闭台灯(为了便于测试,请将检测时间定为5秒,即检测到没有人的时间超过5秒时,关闭台灯,检测距离为4m),当有人进入房间时(检测距离为4m),自动开灯。
3.该产品由功率为3W的LED灯提供照明,必须注意:3W的LED灯工作电流为700mA左右,工作电压为3.6V左右,请注意安全。
4.该产品测距采用超声波传感器,当有物体移动时,超声波接收的信号幅度会发生变化,利用这个原理来检测是否有人。
三、超声测距仪原理图说明1.智能台灯电路图见附件2电路图。
2.采用变压器、桥堆、7812、7912、7805设计制作稳压电源。
3.电位器参数由参赛选手自行调整,以得到最佳工作效果(建议超声发送频率为40kHz)。
4.单片机管脚分配及其外围电路设计由参赛选手完成。
项目任务书项目二 多路智力竞赛抢答器的设计在知识竞赛中,尤其是抢答型的知识竞赛中,为了知道是哪一组或哪一位学 生先答题,必须要有一个系统来完成这个过程。
在抢答过程中,如果只靠人的视 觉是很难判断先后顺序的, 因此在这种比赛中引入多路智力竞赛抢答器是非常有 必要的。
本项目介绍了两种不同的多路智力抢答器的设计方法,一种是主要基于 74LS175 构成的四路智力竞赛抢答器,另一种是基于 74LS148 的多路智力竞赛抢 答器。
2.1 基于 74LS175的四路智力竞赛抢答器的设计2.1.1 设计要求(1) 通过74LS175,555及门电路设计一个四路的智力抢答器。
(2) 通过4个发光二极管表示四路选手的抢答状态。
(3) 为节目主持人设置一个控制开关,用来控制系统的清零和抢答的开 始。
(4) 抢答器具有数据锁存功能,抢答开始后,若有选手按动抢答按钮,则 相应的发光二极管就发光,此时封锁住输入电路,禁止其他选手抢答。
2.1.2 四 D 触发器 74LS175 芯片介绍74LS175是四上升沿的D触发器,其管脚排列如图2-1所示。
1. 74LS175管脚说明(1) CP:时钟输入端,上升沿有效。
(2) MR:清除端,又称公共置零端,低电平有效。
(3) D3D0 :数据输入端。
~(4) Q3Q0 :数据输出端。
~(5) Q3Q0 :数据互补输出端。
~(6)V :电源端,一般接5V。
CC(7) GND:接地端。
2. 74LS175功能说明74LS175的功能如表2-1所示。
表 2-1 74LS175 功能输入项 输出项 MR CP D Q Q L × × L HH ↑ H H L H ↑L LHHL×Q 0Q 由表2‐1可得出以下结论。
(1) 当清除端(MR )为低电平时,输出端(Q)为低电平。
(2) 在时钟输入端(CP)上升沿作用下,数据输出端(Q)与数据输入端 (D)相一致。
作业11.什么是设计?电子产品设计包括哪些内容?答:设计是根据产品(课题)的要求,以科学理论为依据,知识技能为基础,创新构思,把研究方案以最佳方式进行物化的过程。
电子产品设计包括工程技术设计和结构造型设计两个方面的内容。
设计人员不能只考虑电子电路设计而忽略结构造型设计。
要根据已经提出的技术构想,制定出具体明确的完整方案。
2.电子产品具有哪些特点?设计电子产品有什么要求?答:现代电子产品具有许多不同于其它产品及不同于以往电子产品的特点,由于电子产品种类繁多又各具特点,就其整体情况:1)电子产品具有体积小、重量轻的特点,使它在知识、技术、信息的密集程度上高于其它产品。
2)电子产品使用广泛,使用与各行业。
3)电子产品的可靠性要求高。
4)电子产品的精度要求高,控制系统复杂。
电子产品设计时应按照“安全、可靠、耐用、经济、美观、好造、易修”的要求进行。
产品设计应具有:实用性好;可靠性高;安全性能符合电气产品安全标准;性价比高;工艺性好;符合标准化原则;具有继承性。
3.简述电子产品的设计方法和步骤。
答:设计方法是实现设计预想目标的途径。
在电子产品设计中,有很多实用而有效的设计方法。
1).系统论设计法;2).优化设计法;3).计算机辅助设计法;4).模块化设计法;5).可靠性设计法;6).电子设计自动化设计法、软件设计法。
步骤:1.设计问题的提出。
2.设计的准备工作1)设计目标定位;2)针对问题收集信息;3).设计的综合构思。
4.设计方案的审核。
5.设计实施监督。
6.产品试制试用。
7.导入市场。
8.设计的全程管理。
4.单元电路设计的一般方法和步骤是什么?答:电路设计的内容1. 拟定电路设计的技术条件2.电源的种类的选择。
根据设计任务书及相关元器件工作的需要,确定产品电源的种类。
3.确定负荷容量。
负荷容量应包括:带载能力和电路自身的功耗。
4.设计电路原理图、接线图、安装图、装配图;5.选择电子、电器元件,指定电机和电子元器件明细表;6.画出电动机、执行部件、控制部件及检测元件总布局图;7.设计机壳、面板、印制电路板、接线板以及非标准电器和专用安装零件;8.编写设计计算说明书和使用说明书。
消费类电子产品的设计和制作(讲义初稿)梅开乡撰写2011年2月3日项目2. 一种高效率家用LED灯电源的设计和制作LED灯因其具有使用低压电源、耗能少、适用性强、稳定性高、响应时间短、对环境无污染、多色发光等优点,被日益广泛得到使用。
LED灯具价格较高,因为它包括了驱动电源、外壳、电路板和散热板等部件。
其中作为LED灯的核心部件的驱动电源,若选用意法半导体公司(ST)的viper12A芯片来设计,可使成本降低到不足10元的水平。
2.1 LED光源的基本特性家用LED 照明光源选用白光LED,可从世界著名的LED制造商美国科锐公司(CREE)的有关资料了解到,该公司生产的XR—E系列的白光LED,驱动电流在350mA~1A之间,在350mA工作电时的发光效率可达100lm/W,是白炽灯泡发光效率的5倍,完全可以用作家庭照明。
通常白光LED在在350mA时电压为2.8V~3.9V之间,典型值为3.3V,反向击穿电压为5V,可视角为900,温度系数为–4mV/0C。
用4个这样的LED就可以做成一个家庭LED照明灯,其照明效率达400lm/W,可达到8W荧光灯的照明效果。
2.2家庭照明LED电源的设计和制作性能指标要求:工作电压为AC187V~265V,输出电压为自适应电压6V~13.5V,可以随意2~4个白光LED串联使用,输出电流为350mA,带短路保护。
2.2.1 VIPer12A芯片简介由意法半导体公司(ST)生产的VIPer12A芯片为双列8引脚,引脚图和内部结构图分别如图4(a)、(b)所示。
从图4知,VIPer12A芯片是一个单封装的芯片,在同一片芯片上整合了一个专用电流式PWM控制器和一个高压功率场效应管。
第4脚U DD为芯片的供电电源端,第3脚FR为反馈信号控制端,第1、2脚为场效应管的源极,第5~8脚为场效应管的漏极。
控制器的工作频率为60KHZ,通过反馈端FR的控制,来进行脉宽调制,从而达到稳压的目的。
岳麓山作文600字4篇岳麓山作文600字4篇在平凡的学习、工作、生活中,大家或多或少都会接触过作文吧,作文要求篇章结构完整,确定要避开无结尾作文的消逝。
怎么写作文才能避开踩雷呢?下面是我收集整理的岳麓山作文600字4篇,供大家参考借鉴,希望可以关怀到有需要的伴侣。
岳麓山作文600字篇1我爱长江黄河,爱万里长城,还爱上海东方明珠,可最令我魂牵梦萦的,还是家乡的岳麓山。
有一年的秋天,老师带着我们去岳麓山秋游。
我们坐在大巴上,一路上唱着欢快的歌,满怀着喜悦向岳麓山前进。
车把我们放在了岳麓山的脚下。
我们下了车,走在林荫小道上,旁边的大树高大无比,就像一位位战士在岳麓山上站岗呢。
树上的小鸟在欢快地唱着歌,似乎在迎接我们的到来。
远处,时不时飘来阵阵桂花香,真让人心旷神怡。
沿着弯弯曲曲的山路向前走,不一会儿,爱晚亭就消逝在我们的眼前了。
爱晚亭原本叫红叶亭,由于唐代诗人杜牧写的《山行》而改名爱晚亭,它可是中国的四大名亭之一哦。
站在下面远远望去,爱晚亭就像一位巨人站立在岳麓山上。
迈入亭中,能看到八根顶天立地的柱子以及玻璃做的碧瓦。
亭子的上面还长了两个弯月似的牛角呢。
我摸了摸爱晚亭的柱子,冰冰凉凉的,估量夏天摸着它确定特殊舒适吧。
离开爱晚亭,我们连续往上走,一会儿功夫,就来到了白鹤泉。
白鹤泉的泉水清亮见底,听说,用泉水泡茶的话,会冒出一股白烟,像极了白鹤。
白鹤泉中,几只白鹤立在石头上,眼睛紧盯着泉水,像是时刻预备着要捕获泉水中的游鱼来做早餐呢。
历经千辛万苦,我们最终来到了岳麓山顶。
山顶的空气清爽甜润,让人感到神清气爽。
脑海中突然消失名句,“山不在高,有仙则名;水不在深,有龙则灵。
”看着风景如画的岳麓山,真让人感觉如临仙境啊。
太阳要下山了,落日的余晖把岳麓山染成了一片金色,和山上的枫叶交相辉映,真是美不胜收!这样的美景,怎不令人沉醉?远方的伴侣们,有时间,你确定要来岳麓山做客哦!岳麓山作文600字篇2我的家乡是长沙,这儿有一座让我流连忘返的山——岳麓山。
1.试述特征编码型监控程序特点,并画出流程图。
答:包含位特征码和字节特征码两种。
位特征码型:能根据各作业的优先级高低进行调度。
各标志判断的先后次序隐含了优先级。
字节型特征编码型:每次检测一个字节是否相同,相同则执行。
在依次检测的过程中也隐含了优先级。
2. 试述键码分析型监控程序特点,并画出流程图。
答:各作业间既没有先后顺序,也没有优先关系,作业的调度完全按操作者的输入或内部参数的变化,(假设各作业具有相同的优先级)。
监控程序根据当前按键输入和内部状态的值决定执行哪个作业。
在一键多义的系统中,还可以把按键和状态组合起来构成两级状态编码监控程序。
3. 简述Proteus中画电原理图的流程答:✓建工程;✓找元件;✓布局连线;✓设置元件与标号属性;✓存盘。
4. 简述Proteus中程序设计及调试的流程答:✓建工程;✓编辑程序;✓汇编排错;✓装载程序;✓单步调试;✓全速仿真;✓功能性能测试。
5. 简述Proteus的功能答:Proteus是嵌入式系统设计与仿真平台,可以实现:✓绘制电原理图✓数字电路、模拟电路仿真✓微处理器系统的软件仿真✓虚拟信号源及虚拟仪器做系统协同仿真✓PCB设计。
主要由两部分构成:Proteus ISIS,用于电原理图设计和仿真;Proteus ARES则用于PCB设计。
该软件能模拟 51 单片机,AVR单片机,PIC 单片机,以及部分ARM芯片。
还提供了30多种元器件库,数千种元器件;能仿真模拟和数字电路,比如时序分析、频响分析、傅立叶分析、噪声分析等。
支持的外围器件也很多包括:A/D、LCD、LED 数码管、温度、时钟芯片、A/D转换器等。
6. 何谓平均修复时间?答:✓平均修复时间和可用性:对一台仪器,当它出现故障时是可以进行维修的。
对于可维修的仪器,其可靠性的要求不仅表现在希望它尽可能少出现故障,而且也希望在出现故障之后尽快地找出产生故障的原因。
用最短的时间将系统修复,使它重新投入正常运行。
电子信息工程学院实训课任务单课程名称:小型电子产品的设计与制作—楼宇呼叫系统上课时间:第2、3周星期二6-10 第2、3周星期三1-3教师姓名:沈敏班级名称:12应用电子1班任务一:方案与电路设计任务要求:1.了解电子产品指标的设计依据。
2.学习电子产品电路的设计方法。
任务描述:1.确定楼宇呼叫系统的功能及实现其功能的方案,制定产品的技术指标;2.设计实现产品功能满足指标要求的电路,并绘制电路原理图;3. 确定电路及元件参数。
设备及材料要求:1.计算机及打印机2.电子辅助设计软件3. 电子器件手册任务资讯:一、电子CAD设计二、电子器件手册任务实施:目标一:确定方案及技术指标产品名称:楼宇呼叫系统产品功能要求:高层居住楼的防盗门锁是自动控制的,一般处于关闭状态,本楼居民可用IC卡开门,无IC卡人员则要天通过以下步骤进入大楼:假设某人是1802室,需键入1802,确定屏幕显示1802无误后,然后按呼叫键;户主在家听到呼叫,摘下控制话机,与按键人进行对话,确认按键人身份后通过控制话机将楼下防盗门打开,按键人进入大楼。
还有一种情况是呼叫一定时间后户主无应答,呼叫自动结束。
楼宇呼叫系统要求用0~9和last、next共12个按键组成操作键盘,用4位LED数码管即时显示。
Last键位删除键,删除上一次键入的数字;next为呼叫键,呼叫10s无应答后,系统自动恢复到初始状态。
产品设计方案:1.主电路:行列式键盘接口电路2.电源电路:220V/16V 变压,全波整流,7805稳压(音频功放不稳压)一、行列式键盘相关知识图7-1是用一片74ls374和一片74ls245组成的查询方式的行列式键盘接口电路,74ls374和74ls245的功能如表7-1和表7-2所示。
图7-174LS245是我们常用的芯片,用来驱动led或者其他的设备,它是8路同相三态双向总线收发器,可双向传输数据。
74LS245还具有双向三态功能,既可以输出,也可以输入数据。
项目报告学号:38315117 姓名:郭欢项目01:基本门1、与非门:编写VHDL代码,仿真验证。
代码(1)如下:LIBRARY IEEE;----调用库文件USE ieee.std_logic_1164.ALL;----调用库文件ENTITY wd17 IS ----定义实体PORT ----定义端口( A,B : IN STD_LOGIC; ----输入端口Y : OUT STD_LOGIC); ----输出端口END wd17; ----结束实体ARCHITECTURE a OF wd17 IS ----定义行为BEGIN ----开始行为Y <= A NAND B; ----行为描述END a; ----结束行为代码(2)如下:LIBRARY IEEE; ----调用库文件USE ieee.std_logic_1164.ALL; ----调用库文件ENTITY WD17 IS ----定义实体PORT ----定义端口( A,B : IN STD_LOGIC; ----输入端口Y : OUT STD_LOGIC); ----输出端口END WD17; ----结束实体ARCHITECTURE a OF WD17 IS ----定义行为SIGNAL ab : std_logic_vector(1 downto 0); ----定义信号ab begin ----开始行为ab <= a & b; ----给信号ab赋值process(ab)is ----定义进程begin ----开始进程case ab is ----开始case when语句when "00" =>y<= '1'; ----当ab为00时,y赋值1when "01" =>y<= '1'; ----当ab为01时,y赋值1when "10" =>y<= '1'; ----当ab为10时,y赋值1when "11" =>y<= '0'; ----当ab为11时,y赋值0when others => null; ----当ab为其他情况时置空end case; ----结束case when语句end process; ----结束进程END a; ----结束行为真值表如下:功能仿真波形如下:(1)代码一功能仿真波形:(2)代码二功能仿真波形:时序仿真波形如下:(1)代码一时序仿真波形:(2)代码二时序仿真波形:2、或非门:编写VHDL代码,仿真验证。
代码如下:代码(1)如下:LIBRARY IEEE;USE ieee.std_logic_1164.ALL;ENTITY wd17 ISPORT( A,B : IN STD_LOGIC;Y : OUT STD_LOGIC); END wd17;ARCHITECTURE a OF wd17 ISBEGINY <= A NOR B;END a;代码(2)如下:LIBRARY IEEE;USE ieee.std_logic_1164.ALL;ENTITY wd17 ISPORT( A,B : IN STD_LOGIC;Y : OUT STD_LOGIC); END wd173;ARCHITECTURE a OF wd17 ISSIGNAL ab : std_logic_vector(1 downto 0); beginab <= a & b;process(ab)isbegincase ab iswhen "00" =>y<= '1';when "01" =>y<= '0';when "10" =>y<= '0';when "11" =>y<= '0';when others => null;end case;end process;END a;功能仿真波形如下:(1)代码一功能仿真波形:(2)代码二功能仿真波形:时序仿真波形如下:(1)代码一时序仿真波形:(2)代码二时序仿真波形:3、异或门:编写VHDL代码,仿真验证。
代码如下:代码(1)如下:LIBRARY IEEE;----调用库文件USE ieee.std_logic_1164.ALL;----调用库文件ENTITY wd17 IS ----定义实体PORT ----定义端口( A,B : IN STD_LOGIC; ----输入端口Y : OUT STD_LOGIC); ----输出端口END wd17; ----结束实体ARCHITECTURE a OF wd17 IS ----定义行为BEGIN ----开始行为Y <= A XOR B; ----行为描述END a; ----结束行为代码(2)如下:LIBRARY IEEE;USE ieee.std_logic_1164.ALL;ENTITY wd17 ISPORT( A,B : IN STD_LOGIC;Y : OUT STD_LOGIC);END wd17;ARCHITECTURE a OF wd17 ISSIGNAL ab : std_logic_vector(1 downto 0); beginab <= a & b;process(ab)isbegincase ab iswhen "00" =>y<= '0';when "01" =>y<= '1';when "10" =>y<= '1';when "11" =>y<= '0';when others => null;end case;end process;END a;功能仿真波形如下:(1)代码一功能仿真波形:(2)代码二功能仿真波形:时序仿真波形如下:(1)代码一时序仿真波形:(2)代码二时序仿真波形:4、与或非门:编写VHDL代码,仿真验证。
代码如下:代码(1)如下:LIBRARY IEEE;USE ieee.std_logic_1164.ALL;ENTITY wd17 ISPORT( A,B,C,D : IN STD_LOGIC;Y : OUT STD_LOGIC);END wd17;ARCHITECTURE a OF wd17 ISBEGINY <= NOT((A AND B) OR (C AND D)); END abcd;代码(2)如下:LIBRARY IEEE;USE ieee.std_logic_1164.ALL;ENTITY wd17 ISPORT( A,B,C,D : IN STD_LOGIC;Y : OUT STD_LOGIC);END wd17;ARCHITECTURE abcd OF wd17 ISSIGNAL abcd : std_logic_vector(3 downto 0); beginabcd <= a & b & c & d;process(abcd)isbegincase abcd iswhen "0000" =>y<= '1';when "0001" =>y<= '1';when "0010" =>y<= '1';when "0011" =>y<= '0';when "0100" =>y<= '1';when "0101" =>y<= '1';when "0110" =>y<= '1';when "0111" =>y<= '0';when "1000" =>y<= '1';when "1001" =>y<= '1';when "1010" =>y<= '1';when "1011" =>y<= '0';when "1100" =>y<= '0';when "1101" =>y<= '0';when "1110" =>y<= '0';when "1111" =>y<= '0';when others => null;end case;end process;END abcd;真值表如下:功能仿真波形如下:(1)代码一功能仿真波形:(2)代码二功能仿真波形:时序仿真波形如下:(1)代码一时序仿真波形:(2)代码二时序仿真波形:5、异或非门:编写VHDL代码,仿真验证。
代码如下:代码(1)如下:LIBRARY IEEE;USE ieee.std_logic_1164.ALL;ENTITY wd17 ISPORT( A,B: IN STD_LOGIC;Y : OUT STD_LOGIC);END wd17;ARCHITECTURE a OF wd17 ISBEGINY <= A XNOR B;END a;代码(2)如下:LIBRARY IEEE;USE ieee.std_logic_1164.ALL;ENTITY wd17 ISPORT( A,B : IN STD_LOGIC;Y : OUT STD_LOGIC);END wd17;ARCHITECTURE a OF wd17 ISSIGNAL ab : std_logic_vector(1 downto 0);beginab <= a & b;process(ab)isbegincase ab iswhen "00" =>y<= '1';when "01" =>y<= '0';when "10" =>y<= '0';when "11" =>y<= '1';when others => null;end case;end process;END a;功能仿真波形如下:(1)代码一功能仿真波形:(2)代码二功能仿真波形:时序仿真波形如下:(1)代码一时序仿真波形:(2)代码二时序仿真波形:6、三态门:编写VHDL代码,仿真验证。