基本输入输出-蜂鸣器
- 格式:pdf
- 大小:249.91 KB
- 文档页数:6
一、实验目的1. 熟悉51单片机的基本结构和工作原理。
2. 掌握51单片机的I/O口编程方法。
3. 学习蜂鸣器的驱动原理和应用。
4. 通过实验,提高动手实践能力和问题解决能力。
二、实验原理蜂鸣器是一种将电信号转换为声音信号的器件,常用于产生按键音、报警音等提示信号。
根据驱动方式,蜂鸣器可分为有源蜂鸣器和无源蜂鸣器。
1. 有源蜂鸣器:内部自带振荡源,将正负极接上直流电压即可持续发声,频率固定。
2. 无源蜂鸣器:内部不带振荡源,需要控制器提供振荡脉冲才能发声,调整提供振荡脉冲的频率,可发出不同频率的声音。
在本次实验中,我们使用的是无源蜂鸣器。
51单片机通过控制P1.5端口的电平,产生周期性的方波信号,驱动蜂鸣器发声。
三、实验器材1. 51单片机实验板2. 蜂鸣器3. 连接线4. 电路焊接工具5. 编程软件(如Keil)四、实验步骤1. 电路连接:- 将蜂鸣器的正极连接到51单片机的P1.5端口。
- 将蜂鸣器的负极接地。
2. 程序编写:- 使用Keil软件编写程序,实现以下功能:1. 初始化P1.5端口为输出模式。
2. 通过循环,不断改变P1.5端口的电平,产生方波信号。
3. 调整方波信号的频率,控制蜂鸣器的音调。
3. 程序下载:- 将程序下载到51单片机中。
4. 实验观察:- 启动程序后,观察蜂鸣器是否发声,以及音调是否与程序设置一致。
五、实验结果与分析1. 实验结果:- 成功驱动蜂鸣器发声,音调与程序设置一致。
2. 结果分析:- 通过实验,我们掌握了51单片机的I/O口编程方法,以及蜂鸣器的驱动原理。
- 在程序编写过程中,我们学习了方波信号的生成方法,以及如何调整方波信号的频率。
六、实验总结本次实验成功地实现了51单片机控制蜂鸣器发声的功能,达到了预期的实验目的。
通过本次实验,我们提高了以下能力:1. 对51单片机的基本结构和工作原理有了更深入的了解。
2. 掌握了51单片机的I/O口编程方法。
3. 学习了蜂鸣器的驱动原理和应用。
蜂鸣器工作基本知识介绍及并联电阻基础知识蜂鸣器是一种可以发出持续或间歇性蜂鸣声的电子元件,它由振膜和驱动电路组成。
振膜是蜂鸣器的核心部件,它通过电驱动产生机械振动,进而产生声音。
蜂鸣器广泛应用于电子设备、家电、汽车、钟表等领域,用于提醒、警报、报警等功能。
蜂鸣器的工作原理是通过电磁感应或压电效应实现的。
电磁感应式蜂鸣器使用电磁线圈产生磁场,通过振膜上的铁片受到磁力作用而振动,从而产生声音。
压电式蜂鸣器利用压电振荡器产生高频振荡信号,通过振膜的振动产生声音。
蜂鸣器的声音频率可以通过驱动电路的设计来调节,通常可以调节的频率范围在1kHz到20kHz之间。
蜂鸣器的音量可以通过改变振膜的振幅来调节,一般可以通过改变驱动电压的大小来控制。
对于蜂鸣器的驱动电路,常见的有两种类型:直流驱动电路和交流驱动电路。
直流驱动电路是通过直流电源来驱动蜂鸣器的,它的电路结构简单,适用于简单的声音提醒功能。
交流驱动电路则是通过交流电源来驱动蜂鸣器,它的设计复杂一些,但音质更好,可以实现高质量的声音输出。
并联电阻是电路中的一种常见的电阻连接方式。
当电阻器的两个端点连接在电路中的不同分支上时,它们被称为并联电阻,简称并阻。
并联电阻的特点是:在并联电阻中,电流分为几个不同的分支,而每个分支的电流大小与其对应的电阻成反比例关系。
并联电阻的等效电阻计算可以使用以下公式:1/Requivalent = 1/R1 + 1/R2 + 1/R3 + ...其中,Requivalent为并联电阻的等效电阻,R1、R2、R3等为并联电阻的各个分支的电阻。
并联电阻的等效电阻计算方法有两种常见的形式:分数求和法和倒数求和法。
在分数求和法中,将每个分支的电阻都倒数后相加,再将和的倒数即为并联电阻的等效电阻。
在倒数求和法中,将每个分支的电阻直接相加,再将和的倒数即为并联电阻的等效电阻。
并联电阻在电路中的应用非常广泛,常见的应用包括电子设备的保护电路、电流分流电路、电阻分压电路等。
一、实验目的本次实验旨在了解树莓派的基本操作,掌握蜂鸣器的工作原理,并学习如何通过树莓派控制蜂鸣器发出声音,从而实现对音频信号的输出。
二、实验原理蜂鸣器是一种音频信号装置,主要分为有源蜂鸣器和无源蜂鸣器两种。
有源蜂鸣器内置振荡源,可以直接接上额定电源发出声音;而无源蜂鸣器需要接在音频输出电路中,才能周期性地振动发声。
在树莓派中,蜂鸣器可以通过GPIO(通用输入输出)引脚控制。
通过改变GPIO引脚的电平,可以控制蜂鸣器发出不同的声音。
三、实验器材1. 树莓派3主板12. 树莓派电源13. 40P软排线14. 有源蜂鸣器模块15. 无源蜂鸣器模块16. 双色LED模块17. 面包板18. 跳线若干四、实验步骤1. 连接电路- 将树莓派的GPIO 0(序号11)引脚与有源蜂鸣器的正极连接。
- 将树莓派的GND引脚与蜂鸣器的负极连接。
- 将蜂鸣器的正极通过跳线连接到树莓派的3.3V电源。
- 将蜂鸣器的负极通过跳线连接到树莓派的GND。
2. 编程- 使用Python编写程序,通过控制GPIO引脚的电平,实现蜂鸣器的发声控制。
```pythonimport RPi.GPIO as GPIOimport time# 设置GPIO模式GPIO.setmode(GPIO.BCM)# 设置GPIO 11为输出模式GPIO.setup(11, GPIO.OUT)try:while True:# 输出低电平,蜂鸣器发声GPIO.output(11, GPIO.LOW)time.sleep(0.5)# 输出高电平,蜂鸣器停止发声GPIO.output(11, GPIO.HIGH)time.sleep(0.5)except KeyboardInterrupt:passfinally:# 清理GPIO资源GPIO.cleanup()```3. 测试- 运行程序,观察蜂鸣器是否能够按照预期发出声音。
五、实验结果与分析1. 有源蜂鸣器- 通过程序控制GPIO引脚的电平,蜂鸣器能够发出连续的声音,并且可以通过改变电平的持续时间来调整声音的持续时间。
51单片机蜂鸣器的工作原理蜂鸣器是一种常见的声音输出设备,广泛应用于各种电子产品中。
在51单片机中,蜂鸣器也被广泛使用,用于发出警报、提示和音乐等声音信号。
那么,51单片机蜂鸣器的工作原理是什么呢?一、蜂鸣器的基本原理蜂鸣器是一种由压电陶瓷材料制成的声音输出器件。
当在蜂鸣器的两个引脚上加上一定的电压时,压电陶瓷材料会产生机械振动,从而产生声音。
蜂鸣器的发声频率取决于电压信号的频率和振动器的特性。
二、51单片机蜂鸣器的接口在51单片机中,蜂鸣器通常通过一个IO口连接。
通过向该IO口输出高电平或低电平信号,可以控制蜂鸣器的开关状态,从而发出不同的声音。
三、蜂鸣器的工作方式1. 通过IO口控制在51单片机中,通过向蜂鸣器的接口引脚输出高电平或低电平信号,可以控制蜂鸣器的工作状态。
当向蜂鸣器接口输出高电平时,蜂鸣器处于工作状态,发出声音;当向蜂鸣器接口输出低电平时,蜂鸣器处于停止状态,不发出声音。
2. 软件控制除了通过IO口控制蜂鸣器的开关状态外,还可以通过软件控制蜂鸣器发出不同的声音。
通过改变蜂鸣器接口引脚的电平信号的频率和持续时间,可以发出不同频率和持续时间的声音信号。
四、51单片机蜂鸣器的应用1. 发出警报信号蜂鸣器可以被用于发出警报信号,用于提醒和警示。
例如,在安防系统中,当检测到入侵者或异常情况时,通过控制蜂鸣器发出警报声,以引起注意。
2. 提示和提示音蜂鸣器还可以用于发出各种提示和提示音。
比如,在电子设备中,当按下按钮或操作出现错误时,可以通过蜂鸣器发出滴滴声或警示声,以提醒用户。
3. 音乐播放通过控制蜂鸣器的频率和持续时间,可以模拟出一些简单的音乐。
虽然蜂鸣器的音质较差,但在一些简单的应用场景中,如游戏机、玩具等,仍然可以发挥一定的作用。
五、总结51单片机蜂鸣器的工作原理是通过控制IO口的电平信号来控制蜂鸣器的开关状态,进而发出不同的声音信号。
蜂鸣器可以应用于警报、提示和音乐等方面,为电子设备提供声音输出功能。
一、实验目的1. 了解蜂鸣器的工作原理和特性;2. 掌握蜂鸣器谱曲的基本方法;3. 通过实验,验证蜂鸣器演奏音乐的效果。
二、实验原理蜂鸣器是一种电磁声音变换器,它利用电信号的变化产生声音。
蜂鸣器主要由多谐振荡器、压电蜂鸣片、阻抗匹配器及共鸣箱、外壳等组成。
当接通电源后,多谐振荡器起振,输出音频信号,阻抗匹配器推动压电蜂鸣片发声。
蜂鸣器谱曲的基本方法是通过编程控制蜂鸣器发出不同频率的音频信号,从而实现演奏音乐的效果。
音乐由音调和节拍两个主要元素组成,对于蜂鸣器来说,频率的高低决定了音调的高低。
三、实验器材1. 蜂鸣器;2. 电脑;3. 编程软件(如C语言、Python等);4. 连接线和电源。
四、实验步骤1. 连接蜂鸣器将蜂鸣器的正负极分别连接到电脑的GPIO(通用输入输出)端口,确保连接正确。
2. 编写程序使用编程软件编写程序,实现以下功能:(1)初始化蜂鸣器端口;(2)定义音乐音符频率表,包括音符、频率和持续时间;(3)根据音符频率和持续时间,通过GPIO端口控制蜂鸣器发出相应频率的音频信号;(4)循环播放音乐音符,实现整首歌曲的演奏。
3. 编译程序将编写好的程序编译成可执行文件。
4. 播放音乐将编译好的程序运行在电脑上,观察蜂鸣器是否能够演奏出预定的音乐。
五、实验结果与分析1. 实验结果通过编程控制蜂鸣器,成功演奏了一首简单的歌曲。
播放过程中,蜂鸣器能够发出不同频率的音频信号,实现音乐演奏的效果。
2. 分析(1)蜂鸣器能够发出不同频率的音频信号,说明蜂鸣器具有较好的音质表现。
(2)通过编程控制蜂鸣器,可以实现音乐演奏的效果,说明蜂鸣器在音乐领域的应用前景广阔。
(3)实验过程中,由于蜂鸣器受到电源、环境等因素的影响,可能导致音质不够理想。
在今后的实验中,可以尝试优化程序,提高音质。
六、实验总结1. 通过本次实验,了解了蜂鸣器的工作原理和特性,掌握了蜂鸣器谱曲的基本方法。
2. 实验结果表明,蜂鸣器能够发出不同频率的音频信号,实现音乐演奏的效果。
如何正确连接并使用电子电路中的蜂鸣器蜂鸣器是一种常见的电子组件,它可用于产生声音信号。
在电子电路中,正确连接和使用蜂鸣器是至关重要的。
本文将介绍如何正确连接并使用电子电路中的蜂鸣器,以确保其正常工作。
一、蜂鸣器的类型和工作原理蜂鸣器主要有无源蜂鸣器和有源蜂鸣器两种类型。
无源蜂鸣器是一种压电传感器,需要外部驱动电路才能发出声音。
有源蜂鸣器则集成了驱动电路,可以直接使用。
无源蜂鸣器的工作原理是通过施加交变电场使压电晶体振动,从而产生声波。
有源蜂鸣器则利用内部振荡电路产生声音,并通过一个或多个引脚进行控制。
二、连接蜂鸣器的基本方法无论是无源蜂鸣器还是有源蜂鸣器,连接到电子电路中的方法基本相同。
首先,我们需要确定蜂鸣器的正负极性。
通常蜂鸣器上会有标记,标明正极或长脚。
将正极连接到正电源(如Vcc),将负极连接到负电源(如GND)。
三、使用无源蜂鸣器的注意事项使用无源蜂鸣器时,我们需要额外的驱动电路来提供交变电场。
一个常见的驱动电路是使用震荡器(如555定时器)和其他必要的电子元件。
在连接无源蜂鸣器时,需要确保提供足够的电流和电压。
根据蜂鸣器的规格,选择合适的电流限制电阻以保护蜂鸣器,并确保工作在安全范围内。
四、使用有源蜂鸣器的注意事项有源蜂鸣器通常具有内部的振荡电路,因此可以直接连接到电子电路中。
在使用有源蜂鸣器时,我们需要注意输出电流和控制方式。
有源蜂鸣器通常有多个引脚,包括电源引脚(如Vcc和GND)以及控制引脚。
根据蜂鸣器规格,将电源引脚正确连接到电源,将控制引脚连接到适当的控制信号。
五、应用示例蜂鸣器在各种电子设备中都有广泛的应用。
以下是一个简单的示例,展示如何正确连接和使用蜂鸣器。
首先,将蜂鸣器的正极连接到3.3V的电源,负极连接到GND,确保极性正确。
然后,将控制引脚连接到一个可编程的微控制器引脚。
在编程上,我们可以使用适当的控制信号来触发蜂鸣器发声。
例如,通过设置引脚为高电平或低电平,或使用 PWM(脉冲宽度调制)信号来控制发声频率和音量。
蜂鸣器电路原理一、引言蜂鸣器是一种常见的电子元件,广泛应用于电子产品中。
它能够产生连续或间歇的声音信号,用于警示、提醒或音乐播放等功能。
蜂鸣器电路原理是指通过合适的电路设计和控制,使蜂鸣器能够按照预定的频率和节奏发出声音。
本文将详细介绍蜂鸣器电路的原理、组成和工作原理。
二、蜂鸣器的组成蜂鸣器由振膜、磁体、震荡片和引线等组成。
其中振膜是蜂鸣器的重要组成部分,它通过震动产生声音。
磁体则用于产生磁场,使振膜受到力的作用而振动。
震荡片则用于连接振膜和磁体,传递振动力量。
引线则用于连接蜂鸣器和电路,使电信号能够传递到蜂鸣器。
三、蜂鸣器电路的基本原理蜂鸣器电路是由振荡电路和放大驱动电路两部分组成。
振荡电路负责产生频率稳定的振荡信号,而放大驱动电路则将振荡信号放大并驱动蜂鸣器发出声音。
3.1 振荡电路振荡电路是蜂鸣器电路的核心部分,它能够产生稳定的振荡信号。
常见的振荡电路有多种,如RC振荡电路、LC振荡电路和倒相振荡电路等。
其中,RC振荡电路是最为常见的一种。
RC振荡电路由电阻(R)和电容(C)组成,通过调整电阻和电容的数值可以控制振荡频率。
在RC振荡电路中,电容充电和放电的过程会产生周期性的电压变化,从而产生振荡信号。
这个振荡信号的频率决定了蜂鸣器发出声音的频率。
3.2 放大驱动电路放大驱动电路的作用是将振荡电路产生的信号放大,并驱动蜂鸣器发出声音。
常见的放大驱动电路有三极管放大电路、运放放大电路和集成放大器电路等。
三极管放大电路是最常见的一种放大驱动电路。
它通过调整三极管的工作点和输入信号的幅度,实现对振荡信号的放大。
放大后的信号通过引线传递到蜂鸣器,使蜂鸣器振膜受到力的作用而产生声音。
四、蜂鸣器电路的工作原理蜂鸣器电路的工作原理可以分为三个阶段:启动阶段、振荡阶段和放大驱动阶段。
4.1 启动阶段启动阶段是指在电路通电初期,通过合适的电路设计和元件参数选择,使振荡电路能够快速达到稳定工作状态。
在启动阶段,通常需要通过合适的电容和电阻来控制振荡电路的启动时间和频率。
vhdl实验报告--蜂鸣器VHDL 实验报告蜂鸣器一、实验目的本次实验的主要目的是通过使用 VHDL 语言来设计并实现一个蜂鸣器的控制电路,深入理解数字电路的设计原理和 VHDL 编程的基本方法,掌握硬件描述语言在实际电路设计中的应用,提高自己的逻辑思维和问题解决能力。
二、实验原理蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电。
常见的蜂鸣器分为有源蜂鸣器和无源蜂鸣器两种。
有源蜂鸣器内部自带了振荡源,只要给其供电就能发出固定频率的声音;无源蜂鸣器则需要外部提供一定频率的脉冲信号才能发声。
在本实验中,我们使用 VHDL 语言来控制一个无源蜂鸣器。
通过编写代码,生成特定频率的脉冲信号,从而驱动蜂鸣器发声。
三、实验设备及工具1、计算机2、 Quartus II 软件3、开发板四、实验内容及步骤1、设计思路首先,需要确定蜂鸣器发声的频率。
通过计算得出所需的时钟周期数。
然后,使用计数器来产生特定频率的脉冲信号。
最后,将脉冲信号输出到蜂鸣器的控制引脚。
2、 VHDL 代码编写```vhdllibrary ieee;use ieeestd_logic_1164all;use ieeenumeric_stdall;entity buzzer_control isport(clk : in std_logic;rst : in std_logic;buzzer : out std_logic);end entity;architecture Behavioral of buzzer_control isconstant CLK_FREQ : integer := 50_000_000; 系统时钟频率constant BUZZER_FREQ : integer := 1000; 蜂鸣器发声频率signal counter : integer range 0 to CLK_FREQ/BUZZER_FREQ 1; signal clk_div : std_logic;beginprocess(clk, rst)beginif rst ='1' thencounter <= 0;clk_div <='0';elsif rising_edge(clk) thenif counter = CLK_FREQ/BUZZER_FREQ 1 thencounter <= 0;clk_div <= not clk_div;elsecounter <= counter + 1;end if;end if;end process;buzzer <= clk_div;end architecture;```3、编译与仿真将编写好的 VHDL 代码在 Quartus II 软件中进行编译。
microbit基础操作micro:bit是一款微型计算机开发板,具有强大的功能和易用性,使得学习编程和电子的过程变得更加有趣和简单。
以下是基本的micro:bit操作,供初学者参考。
1. 开始使用micro:bit首先,需要将micro:bit连接到电脑。
可以使用micro-USB数据线将micro:bit连接到电脑上的USB接口。
然后,确保正在使用Microsoft MakeCode编辑器。
MakeCode编辑器是一个基于块的编程工具,适用于学生和初学者。
2. LED屏幕micro:bit的主要特征是LED屏幕,它由25个红色LED灯组成。
通过对每个LED灯控制的方式,可以创建各种不同的效果。
以下是一些基本的控制方法:- 亮度:可以将LED灯的亮度设置为0(最暗)到255(最亮)。
可以使用“显示图案(show icon)”块设置亮度。
- 颜色:可以将LED灯设置为各种颜色。
可以使用“显示图案(show icon)”块设置颜色,也可以使用“显示数字(show number)”块来显示文字。
- 动画:可以使用“动画(animation)”块创建动画效果,并使用“清除屏幕(clear screen)”块清除屏幕。
3. 输入设备micro:bit具有多种输入设备,如按钮、加速度计等。
以下是一些基本的输入设备。
- 按钮:有两个按钮,分别称为A和B。
可以使用“按钮被按下(button A/B pressed)”块捕捉到按钮被按下的事件。
- 加速度计:可以使用“加速度计(accelerometer)”块检测micro:bit的运动和姿态,并在其移动时触发事件。
- 蜂鸣器:可以使用“蜂鸣器(play tone)”块播放音频。
- 数码管:可以使用“数码管(display)”块在四个数码管上显示数字。
在micro:bit上使用输入输出设备的过程中,需要使用事件和循环来控制它们的交互行为。
以下是一些基本的控制方法。
蜂鸣器工作原理介绍及并联电阻原理目前市场上广泛使用的蜂鸣器有电磁式与压电式,我司使用的蜂鸣器以压电式为主。
压电式蜂鸣器主要由多谐振荡器,压电蜂鸣片(以压电陶瓷为主,如下图所示),阻抗匹配器及共鸣箱,外壳等组成。
其主要原理是以压电陶瓷的压电效应,来带动金属片的震动而发声。
压电蜂鸣片压电陶瓷其实是一能够将机械能和电能互相转换的功能陶瓷材料。
所谓压电效应是指某些介质在受到机械压力时,哪怕这种压力微小得像声波振动那样小,都会产生压缩或伸长等形状变化,引起介质表面带电,便会产生电位差,这是正压电效应。
反之,施加激励电场或电压,介质将产生机械变形,产生机械应力,称逆压电效应。
如果压力是一种高频震动,则产生的就是高频电流。
而高频电信号加在压电陶瓷上时,则产生高频声信号(机械震动),这就是我们平常所说的超声波信号。
也就是说,压电陶瓷具有机械能与电能之间的转换和逆转换的功能。
压电式蜂鸣器就是运用其将电能转换问机械能的逆压电效应。
压电蜂鸣器的主要应用电路如下图所示,R为阻抗匹配电阻。
蜂鸣器端口信号主控芯片端口信号R=1K时蜂鸣器两端信号蜂鸣器两端,以及当R=1K时,其等效电容的放电时间为46us蜂鸣器两端,以及当R=100Ω时,其等效电容的放电时间为6.8us蜂鸣器两端,以及当R=10K时,其等效电容不能完全放电完成而阻抗匹配器阻值的选取,推荐值为R=VDC*100Ω,我司蜂鸣器两端的电压为10V~12V,因此选取阻值为R=10*100Ω=1000Ω=1KΩ.现就目前我司使用的一款PA-2220B03为例进行说明:其内部等效电路如下图所示:1.SPECIFICATIONS (规格)Part No. PA-2220B03Item (项目) Specifications [规格] Conditions[条件]Operating voltage (Vp-p)工作电压1~25Vp-pMin Sound PressureLevel最小输出声压min 85dBAt 2000Hz/9Vp-p SquareWave/10cmResonant Frequency (Hz)额定频率2.0±0.3kHz Operating Temperature(℃)工作温度-20~+70Storage Temperature (℃)储存温度-30~+80Case Material/Color 外壳材料及颜色PPO/Black (聚苯醚,黑色) 阻燃等级:V0Weight (g)2.5g重量标准测试条件:温度25±3℃,湿度60±10% R.H.2.DIMENSIONS (尺寸)Unit: mm 未注公差:±0.53.FREQUENCY AND VOLTAGE RESPONSE (频率曲线图)蜂鸣器声级与驱动电压的关系,接近线性关系:4.TEST METHOD(测试方法)SOUND PRESSURE LEVEL,CONSUMPTION(声压测试线路图)TEST CIRCUIT (测试电路)5.RELIABILITY TEST (可靠性试验项目)6. RELIABILITY TEST (可靠性试验项目)以上面测试电路为例,通过计算可知匹配电阻的取值范围,假设三极管放大倍数为200,控制频率为2KHz ,控制电压为5V ,三极管基极限流电阻为1K Ω,蜂鸣器的等效电容为25000PF 。
51单片机进阶篇
---IO控制之蜂鸣器
本文作者:Cepark
更新时间:2010/07/15
作者博客:
上一节课,我们学习了如何使用实验板控制LED。
在本次课中,我们将学习蜂鸣器的使用。
我们常用的蜂鸣器是一种直流电压驱动的电子器件,常用的蜂鸣器有无源和有源之分。
两种蜂鸣器的硬件驱动电阻大致相同,只是控制方法略有区别。
有源蜂鸣器只要在其两端加一点的工作电压即可工作,而无源蜂鸣器需要加一定频率的波形才可以发声,通过设置占空比和周期可以使无源蜂鸣器发出不同的声音。
有源蜂鸣器和无源蜂鸣器的外观相似,不过实际中还是可以区分的。
有源蜂鸣器高度一般是9mm,而无源蜂鸣器高度为8mm。
将两种蜂鸣器的引脚郡朝上放置时,可以看出有绿色电路板的一种是无源蜂鸣器,没有电路板而用黑胶封闭的一种是有源蜂鸣器。
判断有源蜂鸣器和无源蜂鸣器,还可以用万用表电阻档Rxl档测试:用黑表笔接蜂鸣器 "+"引脚,红表笔在另一引脚上来回碰触,如果触发出咔、咔声的且电阻只有8Ω(或16Ω)的是无源蜂鸣器;如果能发出持续声音的,且电阻在几百欧以上的,是有源蜂鸣器。
我们实验板上使用的是5v的有源蜂鸣器。
使用单片机进行蜂鸣器的控制时需要编写的程序是比较简单的。
在这一课中,我们简单讲解一下其程序编写和硬件的控制电路。
一、程序编写
上图是CEPARK51实验板的蜂鸣器模块原理图。
可见在三极管的基极给一个低电平(0V)的时候,三极管将导通,此时蜂鸣器将发声。
原理图清楚以后程序的编写就变得非常容易。
如下:
/**********************************************************************
* 文件名称: main.c/蜂鸣器发声
* 程序作者: kidcao1987
* 程序版本: V1.0
* 编制日期: 2010/07/15
* 功能描述: 蜂鸣器发声
* 编译器:KEIL C51
* 芯片:STC89C52,外部11.0592MHZ晶振
* 技术支持:
* Cepark暑期51在线免费培训用程序:
/forum-116-1.html
**********************************************************************/
#include <REG52.H>
sbit beep = P1^7; //位定义
void main(void) //主函数
{
beep =0; //使蜂鸣器发声
while(1); //程序循环
}
二、硬件驱动电路的分析
由于单片机的IO驱动能力有限(10MA左右),所以若是直接将蜂鸣器接至单片机的IO,上电以后很会将单片机的IO烧坏。
所以一般都是通过三极管的放大作用来控制。
电阻R4的作用是限流,使通过单片机的IO电流不至于过大而烧坏单片机。
三极管8550的作用是放大,8550是PNP型三极管,可以使用9012等其他型号的三极管代替。
放大电路对大家并不陌生,这里讨论一下蜂鸣器的控制电路在选用三极管的使用为什么用PNP而不是用NPN型。
因为51单片机在上电的时候IO引脚默认是高电平,而在IO为高的情况下,PNP三极管8550的不导通的,也就是蜂鸣器不工作。
若是三极管是NPN型,在IO为高电平的时候上电蜂鸣器即工作,也就是说实验板一上电,蜂鸣器就会发出声音,这将是非常不方便的。
作业:
1、结合上一节课说讲的延时函数,使实验板上的蜂鸣器以0.5秒的间隔发声,即响0.5秒,
停0.5秒,依次循环。
2、试画出使用NPN三极管驱动蜂鸣器的电路,并结合上面的分析理解在51实验板上不实
用NPN驱动蜂鸣器的原因。
1、电子园网站简介
CEPARK 电子园是一个以讨论电源、单片机、MCU、DSP、嵌入式软件、电子DIY等电子技术的开放社区网站。
CEPARK电子园的宗旨是给所有爱好电子的朋友提供一个自由、开放、免费的交流空间,并通过零利润的公益助学活动,让爱好电子的朋友能用相当低廉的价格开始学习电子,而CEPARK电子园的论坛、“家园”、资源中心、博客、群组等功能,又为您提供了开放的学习、讨论、请教求助的空间和渠道。
CEPARK电子园的目标是建成中国最好的电子技术开放共享社区,为推动中国电子工程师终生学习以及电子产品研发作出贡献。
为广大网友提供一个自由、开放、专业的技术交流空间,并努力与广大CEPARK电子园的朋友们一起建设一个更轻松的、更惬意、更完善的技术、生活交流家园!
CEPARK电子园创办于2008年。
在短短的时间里,因其便利的学习方式和货真价实的助学产品,获得了广大电子爱好者的支持和帮助,并对网站的内容建设提出了建设性的意见和建议。
CEPARK电子网今天已经成为获得了Alexa排名15万以内、论坛每天的平均在线交流人数超过300多人、每天平均PaveView超过5万次、访问独立IP数超过1万的活跃社区。
2、电子园分站点
电子园论坛
电子园百科
电子园商城
电子园家园
电子园网址
3、电子园子站点
51单片机学习网
USB开发学习网
CAN总线学习网
A VR单片机学习网
ARM开发学习网
FTPA开发学习网
DIY开发学习网
GPS开发学习网
GUI开发学习网
STM32开发学习网 EDA软件学习网
DSP开发学习网
PIC单片机学习网
电源技术专区 射频技术专区
医疗电子专区 汽车电子专区 消费电子专区
更多子站建设中,敬请关注!。