设计某等效器的模拟信号发生电路的毕业设计
- 格式:doc
- 大小:1.62 MB
- 文档页数:9
西北大学职业技术学院毕业设计(论文)题目:方波-三角波-正弦波信号发生器姓名:学号:专业班级:电子信息工程技术指导老师:2011 年5月14日目录目录 ------------------------------------------------------------------------------------------------------------------ 2 【摘要】 ------------------------------------------------------------------------------------------------------------ 3 【简介】 ------------------------------------------------------------------------------------------------------------ 3 【关键词】 --------------------------------------------------------------------------------------------------------- 3 【正文】 ------------------------------------------------------------------------------------------------------------ 4 1设计原理框图---------------------------------------------------------------------------------------------------- 4 2设计方案---------------------------------------------------------------------------------------------------------- 4 1起振电路(正弦波发生电路)的设计 -------------------------------------------------------------- 4 2方波产生电路的设计 ------------------------------------------------------------------------------------ 7 3三角波发生电路设计 ------------------------------------------------------------------------------------ 8 4幅度调电路------------------------------------------------------------------------------------------------- 9 5总电路图--------------------------------------------------------------------------------------------------- 10 3仿真电路及仿真过程 ----------------------------------------------------------------------------------------- 10 4安装及调试------------------------------------------------------------------------------------------------------ 14 5实验数据--------------------------------------------------------------------------------------------------------- 19 6误差及改进方法------------------------------------------------------------------------------------------------ 19 7总结 --------------------------------------------------------------------------------------------------------------- 19 参考资料: -------------------------------------------------------------------------------------------------------- 20 附录1:电路元器件 -------------------------------------------------------------------------------------------- 21 附录2:电路仿真原理图 -------------------------------------------------------------------------------------- 22信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
摘要摘要传统的信号发生器其功能完全靠硬件实现,功能单一而且用户的购置、维护费用高。
更重要的是,对于传统的信号发生器,其功能一旦确定便不能更改,用户要想使用新的功能则必须重新购买新的仪器,传统信号发生器的不足是显而易见的。
虚拟仪器是将仪器技术、计算机技术、总线技术和软件技术紧密的融合在一起,利用计算机强大的数字处理能力实现仪器的大部分功能,打破了传统仪器的框架,形成的一种新的仪器模式。
本课题完成了“虚拟信号发生器”的理论研究,在很大程度上解决了传统信号发生器的诸多弊端。
本文主要研究虚拟仪器在信号发生器领域里的软件编程。
本虚拟仪器可完成输出多种信号波形的同时产生与输出,信号输出频率、幅度等参数实时可调。
本文研究的虚拟信号发生器主要具有如下优点:用户可自由定义其功能;系统功能升级扩充方便快捷、可与电脑等设备方便的互联。
关键词: 虚拟仪器, 信号发生器,虚拟信号发生器, LabVIEW目录AbstractThe functions of traditional signal generators are carried out solely on hardware, and at the same time the functions of traditional signal generators are singleness and costly for purchasing and maintaining, What is more important is that the functions of traditional signal generators can not be altered once they are fixed. Users must get new ones so long as they want new functions. Thus, the defects of traditional signal generators are obvious. Virtual instrument is formed by the instrument technology, computer technology, bus technology and software technology. Powerful digital processing’s ability of computer is used to achieve the main functions of instrument. Virtual instrument broke the framework of the traditional instruments, and built a new device model. This dissertation has accomplished the theoretical research, and made up the various shortcomings of traditional signal generators to great degree. This virtual signal generator can achieve the input and output of multi signals, and such parameters as signal output frequency and amplitude can be adjusted timely. The advantages of this virtual signal generator include the following: low cost of hardware, user custom functions, convenience of the upgrading and enlargement of systematic functions, and connectable with computers.Keywords: Virtual Instrument , Signal Generator , Virtual Signal Generator , Labview目录摘要 (I)Abstract (II)第1章绪论 (1)1.1研究背景及动态 (1)1.2本项目的研究意义及本文主要研究内容 (2)1.2.1本项目的研究意义 (2)1.2.2本文的主要研究内容 (2)第2章虚拟仪器和Labview简介 (4)2.1虚拟仪器的产生背景 (4)2.2虚拟仪器的概念 (5)2.3虚拟仪器的分类 (5)2.4虚拟仪器系统的构成 (6)2.4.1虚拟仪器系统的硬件构成 (7)2.4.2虚拟仪器系统的软件构成 (7)2.5虚拟仪器的优势 (8)2.6虚拟仪器的发展方向 (9)2.7图形化虚拟仪器开发平台——LABVIEW简介 (9)2.8本章小结 (12)第3章信号发生器 (13)3.1信号发生器概述 (13)3.2信号发生器的分类... . (14)3.2.1正弦信号发生器.. (14)3.2.2函数发生器.. (15)3.2.3脉冲信号发生器.. (15)3.2.4随机信号发生器.... . (15)3.3本章小结 (16)第4章基于虚拟仪器的信号发生器的设计 (17)4.1虚拟仪器的简单应用 (17)4.1.1 创建虚拟仪器 (17)4.1.2 为前面板添加控件 (19)4.1.3 修改信号 (22)目录4.1.4 本节小结 (24)4.2虚拟仪器实现多功能信号发生器 (24)4.2.1“信号发生器1”的设计 (25)4.2.2“信号发生器2”的设计 (29)4.2.3“信号发生器3”的设计 (31)4.2.4 本节小结 (33)4.3本章小结 (34)结论 (35)参考文献 (36)谢辞 (37)第1章绪论在有关电参量的测量中,我们需要用到信号源,而信号发生器则为我们提供了在测量中所需的信号源,它可以产生不同频率的正弦信号、方波、三角波、锯齿波、正负脉冲信号、调幅信号、调频信号和随机信号等,其输出信号的幅值也可以按需要进行调节。
模拟电路毕业设计模拟电路毕业设计近年来,随着科技的不断发展,模拟电路在各个领域中的应用越来越广泛。
作为电子工程专业的学生,我在大学期间也接触到了许多关于模拟电路的知识,并且对其产生了浓厚的兴趣。
毕业设计是我展示自己所学知识和技能的最佳机会,因此,我决定选择模拟电路作为我的毕业设计主题。
在确定毕业设计主题之前,我首先进行了广泛的调研和学习。
我阅读了大量的相关文献和书籍,通过实验和实践,逐渐掌握了模拟电路设计的基本原理和方法。
在这个过程中,我对模拟电路的魅力有了更深的理解,也对自己的能力和潜力有了更大的信心。
在确定了模拟电路作为毕业设计的主题后,我开始思考如何选择一个切实可行且有一定挑战性的项目。
经过与导师的讨论和建议,我决定设计一个具有实际应用价值的音频放大器电路。
音频放大器是模拟电路中的一种常见应用,其功能是将输入的音频信号放大到足够的幅度,以便驱动扬声器产生声音。
在设计音频放大器电路时,我首先进行了相关电路的理论分析和仿真模拟。
通过使用专业的电路仿真软件,我可以模拟和分析不同的电路拓扑结构、元器件参数和工作条件对电路性能的影响。
在仿真过程中,我发现了一些问题和挑战,例如如何平衡放大器的增益和失真,如何提高电路的稳定性和可靠性等。
基于仿真结果和理论分析,我开始进行实际的电路设计和制作。
我选用了高品质的元器件,并采用了一些先进的设计技术,以确保电路的性能和可靠性。
在设计过程中,我遇到了一些困难和挑战,例如如何优化电路的频率响应和噪声性能,如何解决电路中的干扰和耦合问题等。
为了解决这些问题,我进行了大量的实验和测试,并不断调整和优化电路设计。
经过数月的努力和实践,我成功地完成了音频放大器电路的设计和制作。
通过实际测试和评估,我证实了电路的性能和可靠性达到了预期的要求,并且在一些关键指标上超出了预期。
这个成果不仅是对我在模拟电路设计方面知识和技能的巩固和提升,也是对我毕业学业的一个重要里程碑。
通过这个毕业设计项目,我不仅学到了很多关于模拟电路设计的知识和技能,也锻炼了自己的团队合作和解决问题的能力。
基于DDS芯片AD9951的精密信号发生器设计摘要直接数字频率合成(Direct Digital Frequency Synthesis简称DDS)是近年来迅速发展起来的一种新的频率合成方法。
而AD9951是美国模拟器件公司(ADI)最新推出的高集成度DDS芯片。
本设计采用该芯片,以AT89S52单片机为控制,采用AT24C02来存储重要的系统数据,由1602点阵式字符型液晶显示模块作为显示器,并加上一个小键盘构成了精密信号发生器。
要求其输出频率范围为0~160MHz、最小步进为10Hz或者1Hz、输出信号幅度大于0.3Vp-p、杂散小、有掉电数据保持功能。
文中详细介绍了DDS的工作原理以及该信号发生器的软、硬件设计方案,并给出了具体的程序设计。
指标关键词:直接数字频率合成(DDS)、AD9951、AT89S52、信号发生器、频率控制字直接数字频率合成(Direct Digital Frequency Synthesis简称DDS)是近年来迅速发展起来的一种新的频率合成方法,广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。
而AD9951是美国模拟器件公司(ADI)最新推出的高品质、高集成度DDS芯片。
本设计采用该DDS芯片作为核心元件,以AT89S52单片机为主控器件、并辅以AT24C02存储重要的系统数据、1602点阵式字符型液晶显示模块作为显示器,构成了一种精密的DDS信号发生器。
文中详细介绍了DDS的工作原理以及该精密信号发生器的软、硬件设计方法,并给出了具体的程序设计方案。
设计出的信号发生器,输出频率范围为0~160MHz、最小步进为10Hz或者1Hz、输出信号幅度大于0.3Vp-p、杂散小。
关键词:直接数字频率合成(DDS)、AD9951、AT89S52、信号发生器、频率控制字该芯片能以早期DDS 1/10的功耗提供速度高达400 MHz 的内部时钟,而合成频率高达160 MHz。
PWM信号发生器的设计实验/上机报告一、实验目的1、掌握序列发生器和检测器的工作原理;2、初步学会用状态机进行数字系统设计。
二、实验环境Quartus II 7.0 开发系统三、实验内容用状态机设计实现串序列检测器设计,可以用原理图输入法设计序列信号发生器,要求产生序列:0111010011011010;再进行检测设计,若检测到序列:11010则输出为“1”,否则输出为“0”。
并对其进行仿真和硬件测试。
四、实验过程本实验可以分为两部分来设计。
第一步设计序列信号发生器,在这里可以采用模16的计数器74LS161来产生模16的计数,并由它的4位输出可以产生16种状态,由此可以用来设计序列产生器,也可以采用状态机产生序列,本实验用状态机产生序列。
第二步设计序列检测器,这里用状态机设计,如果为真输出1,为假输出为0;第三步设计串行转并行输出,将序列并行输出在LED管上显示。
第四步是设计一个计数脉冲,记录出现所需要的序列的次数。
第五步是将所有模块连接起来,构成一个完整的序列发生和检测设计器。
实验代码:1、序列发生器library ieee;use ieee.std_logic_1164.all;entity xulie_produce is—序列产生电路port(clk,reset:in std_logic;comb_outputs:out std_logic);--序列输出end xulie_produce;architecture behav of xulie_produce istype fsm_st is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15);--状态设计signal current_state,next_state:fsm_st;beginreg:process(reset,clk)—主控时序进程beginif reset ='1'then current_state<=s0;elsif clk='1'and clk'event thencurrent_state<=next_state;end if;end process;com:process(current_state)—主控组合进程begincase current_state iswhen s0 => comb_outputs<='0';next_state<=s1; when s1 => comb_outputs<='1';next_state<=s2; when s2 => comb_outputs<='1';next_state<=s3; when s3 => comb_outputs<='1';next_state<=s4; when s4 => comb_outputs<='0';next_state<=s5; when s5 => comb_outputs<='1';next_state<=s6; when s6 => comb_outputs<='0';next_state<=s7; when s7 => comb_outputs<='0';next_state<=s8; when s8 => comb_outputs<='1';next_state<=s9; when s9 => comb_outputs<='1';next_state<=s10; when s10 => comb_outputs<='0';next_state<=s11; when s11 => comb_outputs<='1';next_state<=s12; when s12 => comb_outputs<='1';next_state<=s13; when s13 => comb_outputs<='0';next_state<=s14; when s14 => comb_outputs<='1';next_state<=s15; when s15 => comb_outputs<='0';next_state<=s0; end case;end process;end behav;2、序列检测器library ieee;use ieee.std_logic_1164.all;entity s_machine is—序列检测电路port(clk,reset:in std_logic;state_inputs:in std_logic;--状态转移控制comb_outputs:out std_logic);检测结果输出end s_machine;architecture behav of s_machine istype fsm_st is (s0,s1,s2,s3,s4,s5);signal current_state,next_state:fsm_st;beginreg:process(reset,clk)主控时序进程beginif reset ='1'then current_state<=s0;elsif clk='1'and clk'event thencurrent_state<=next_state;end if;end process;com:process(current_state,state_inputs)—主控组合进程begincase current_state iswhen s0 => comb_outputs<='0';if state_inputs='1' then next_state<=s1;else next_state<=s0;end if;when s1 => comb_outputs<='0';if state_inputs='1' then next_state<=s2;else next_state<=s0;end if;when s2 => comb_outputs<='0';if state_inputs='0' then next_state<=s3;else next_state<=s2;end if;when s3 => comb_outputs<='0';if state_inputs='1' then next_state<=s4;else next_state<=s0;end if;when s4 => comb_outputs<='0';if state_inputs='0' then next_state<=s5;else next_state<=s2;end if;when s5 => comb_outputs<='1';--检测到11010输出1 if state_inputs='0' then next_state<=s0;else next_state<=s1;end if;end case;end process;end behav;3、串行输出变并行输出library ieee;use ieee.std_logic_1164.all;entity shift is –串行变并行电路port(clk,load,a:in std_logic;din :out std_logic_vector(7 downto 0));--并行输出end shift;architecture behav of shift isbeginprocess(clk,load,a)variable reg8 :std_logic_vector(7 downto 0);beginif clk'event and clk='1'thenif load='1'then reg8(7 downto 1):=reg8(6 downto 0);--load为1时开始装载reg8(0):=a;end if;end if;din<=reg8;end process;end behav;4、计数器设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY CNTM IS –计数电路PORT (CLK,RST,EN:IN STD_LOGIC;a,b,c:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END CNTM;ARCHITECTURE behav OF CNTM ISsignal a1,b1,c1:std_logic_vector(3 downto 0); BEGINPROCESS (CLK,RST,EN)VARIABLE N :INTEGER RANGE 0 TO 1000;BEGINIF RST ='1' THEN N:=0;ELSIF CLK 'EVENT AND CLK='1' THENIF EN = '1' THENIF N<100 THEN N:=N+1;--设计为100计数ELSE N:=0;END IF;END IF;END IF;a1<=conv_std_logic_vector((N/100),4);b1<=conv_std_logic_vector(((N/10)mod 10),4); c1<=conv_std_logic_vector((N mod 10),4);a<=a1;b<=b1;c<=c1;END PROCESS;实验步骤:1、建立工作库文件和编辑设计文件(1)在D盘新建一个文件夹用来保存工程文件(2)打开QuartusⅡ8.0软件,选择菜单File->New->VHDL File,点击OK后在打开的界面下输入已经设计好的程序。
简易信号发生器的设计目录摘要Abstract第1章前言 (3)第2章信号发生器的发展现状 (4) (4) (4)第3章方案的设计 (5)方案的选择 (5) (5)第4章单元电路设计 (6) (6) (6)正弦波振荡电路 (7)电路工作原理 (7) (9)电压比较器 (10) (10) (11)积分电路 (12) (12) (12)第5章整体电路仿真 (14) (14) (15)第6章结束语 (17)参考文献答谢词附录摘要信号发生器是一种能够产生多种波形,如三角波、方波、正弦波的电路。
信号发生器在电路实验和设备检测中具有十分广泛的用途。
本设计通过对信号发生器的原理以及构成进行分析,设计了正弦波—方波—三角波简易信号发生器。
设计中首先确定了电路方案:由直流稳压电源电路、文氏振荡器、迟滞比较器、积分器组成;接着对各单元电路的的工作原理进行了分析,由直流稳压电源电路提供所需电压,文氏振荡器产生正弦波,迟滞比较器产生方波,积分器产生三角波,同时对电路中各元器件的参数进行了计算。
最后利用相关仪器进行测试,测试达到了设计要求。
关键词:直流稳压电源电路;文氏振荡器;迟滞比较器;积分器AbstractSignal generator is a kind of can produce much waveform, such as triangle wave, square wave, sine wave circuit. Signal generator in the circuit experiment and test equipment in a very wide range of purposes. The design of the principle of the signal generator and structure analysis, design of sine wave-square wave-triangle wave simple signal generator. Design of the first set by a dc voltage circuit scheme: power supply circuit, venturi oscillator,hysteresit comparator, integrator composed; Then each unit circuit of the principle is analyzed by dc stabilized voltage power supply circuit, provide the voltage required, venturi oscillator produce sine wave, hysteresit comparator produce square wave, integrators produce triangle, and at the same time in the circuit to wave the parameters of each component was calculated. Finally, using the related instrument testing, testing meet the design requirements.Keywords: dc stabilized voltage power supply circuit; Venturi oscillator; Hysteresit comparator; integrator第1章前言能产生多种波形,如三角波、方波、正弦波的电路被称为信号发生器,又称信号源或振荡器,在生产实践和科技领域中有广泛的应用。
8路模拟信号数值显示电路专业:电子信息工程班级:电信051本姓名:指导老师:摘要本文设计了8路模拟信号数值显示电路,通过对现有的各种方案分析,采用了以AT89S52为核心,对采集的模拟信号利用A/D转换为数字信号并送到单片机进行运算处理并通过显示电路显示结果。
本系统具有自动轮流显示8个通道模拟信号的数值并可以通过按键切换通道的功能。
通过对所设计的8路模拟信号数值显示电路进行实验测试,,最大显示数值为255,测量电压最大值为5V的技术指标,基本达到了任务书的要求。
关键词单片机数据采集ADC0809 多路信号8-Channel Analog Signal Input Numerical Display Circuit Profession:Electronicinformationengineering Class:D051 Name: Instructor:Abstract In this paper, the design of the 8-channel analog signal numerical display circuit, through analysis of existing programs, using a AT89C52 as the core, AD conversion of the data collected and displayed. Automatic rotation of the system show that 8-channel analog signal value and can function button to switch channels. Design of the analog signal of the 8 Numerical experiments show that the test circuit, achieved. Minimum resolution of , the maximum display value of 255, measuring voltage 5V max technical indicators, the basic task of the book met the requirements.Keyword MCU data actuation system ADC0809 software system hardware system目录引言 (5)第一章概述 (6) (6)第二章系统的方案论证分析与总体设计 (7)2.1 设计目标 (7)方案论证与比较 (7)总体设计 (8) (8) (8)第三章硬件电路设计 (11) (11)数据处理模块电路 (12)数码显示模块电路 (13) (14)第四章软件设计 (15)应用软件设计原则 (15)主程序 (15)显示子程序 (15)模数转换测量子程序 (16)程序的资源分配: (16)小结 (17)第五章检测与调试 (18)系统的调试 (18)系统改进设想 (18) (18)总结 (19)参考文献 (21)致谢 (21)附录一源程序 (23)附录二原理图 (28)附录三PCB图 (29)附录四实物照片 (30)附录五数值量模拟量转换对照表(ADC0809的参考电压为5V)31引言随着计算机技术的飞速发展和普及,数据采集系统也迅速地得到应用。
题目专业班级学号姓名日期目录一、信号发生器的总方案及原理框图1、电路设计原理框图2、电路设计方案设计二、设计的目的及任务1、课程设计的目的2、课程设计的任务要求三、各部分电路设计1、总电路图2、正弦波产生电路的工作原理、参数选择及计算3、正弦波-方波发生电路的工作原理、参数选择及计算4、方波-三角波转换电路的工作原理、参数选择及计算四、电路仿真1、正弦波发生电路的仿真2、正弦波-方波发生电路的仿真3、方波-三角波转换电路的仿真五、电路的测试结果1、正弦波发生电路的波形、实验结果、误差分析及改进方法2、正弦波-方波转换电路的波形、实验结果、误差分析及改进方法3、方波-三角波转换电路的波形、实验结果、误差分析及改进方法4、电路安装与调试中遇到的问题及分析解决方法六、实验总结七、仪器元件明细清单八、参考文献一、信号发生器的总方案及原理框图1、电路设计原理框图2、电路设计与方案设计↓↓↓↓↓二、设计的目的及任务1、课程设计的目的综合应用自己所学知识和技能,完成小系统电路的设计、安装调试、性能测试,练习设计报告的书写。
`2、课程设计的任务与要求能够输出三种周期性波形:正弦波、方波、三角波信号频率调节范围:1K H Z-180K H Z方波信号占空比可调三、各部分电路的设计1、总电路图2、正弦波产生电路的工作原理及参数的选择、计算此电路利用R C桥式振荡电路。
由C1、R1、C2、R P1组成正反馈选频网络F=1/(2兀R C);由R3、R4、R f、V1、V2构成负反馈支路,它与集成运入形成了同相输入比例运算放大器A u=1+R f/R3.只要适当R f与R3,就能实现A u﹥3的要求。
其中,V1、V2、R4是实现自动稳幅的电路。
3、正弦波-方波发生电路的工作原理及参数的选择、计算集成运放A2构成滞回电压比较器。
由R P2、C3组成充、放电负反馈电路,其中R P2可调节方波的占空比。
Z1、Z2起到稳压的作用,使输出电压为±5V。
大学信息商务学院毕业设计开题报告学生姓名:学号:学院、系:信息商务学院、电子与计算机科学技术专业:电子科学与技术/微电子学设计题目:设计某等效器的模拟信号发生电路指导教师:2009年 11月 18日毕业论文开题报告1.结合毕业论文情况,根据所查阅的文献资料,撰写2000字左右的文献综述:文献综述《技术综合应用实例与分析》首先阐述了EDA技术综合应用的形式、设计方法与建模、典型单元电路的设计、主要软件及设备、PCB的设计与制作等基础知识。
接着介绍了多路彩灯控制器、智力抢答器、电子密码锁、微波炉控制器、交通控制器、综合计时系统、数据采集控制系统、电梯控制器、车载DVD位控系统、直接数字频率合成器DDS、图像边缘检测器等11个EDA技术综合应用系统的设计,以及等精度数字频率计、出租车计费系统、低频数字相位测量仪、电压控制LC振荡控制器等4个EDA和单片机综合应用系统的设计[1]。
随着现代通信、定位和导航技术的不断发展,全球定位系统(G10bal Position SySt,GPS)终端系统的功能越来越强大,系统复杂程度也随之提高,因此在终端设计中,对信号源的频率稳定度、频谱纯度、频率围和输出频率的个数提出了新的要求。
为了配合GPS 接收机的研制和测试,研制一种高准确度的卫星信号模拟源是必备的手段[3]。
传统通信信号源信号的产生使用模拟方法,设备笨重,且精度不高,只能产生几种简单的波形。
利用DSP芯片来实现的信号源,如使用TMS320VC5402,具有可编程,灵活性大等特点,但指令执行为串行结构,转换速度不快。
利用BPSK专用芯片来实现的信号源,如MAX2402,具有转换速度快,使用方便等特点,但它不能满足频率个数多的要求。
现场可编程门阵列FPGA 器件是一种新型高密度PLD,采用CMOS-SRM 工艺制作[4]。
FPGA 器件将半定制的门阵列电路和可编程逻辑器件的用户可编程性结合在一起,现在已经成为新一代系统设计的积木块。
西安航空职业技术学院毕业设计开题报告学生姓名:张锋学号:10060441X16学院、系:西安航空职业技术学院、电子与计算机科学技术专业:电子科学与技术/微电子学设计题目:设计某等效器的模拟信号发生电路指导教师:沈三民2011年 02月 18日毕业论文开题报告1.结合毕业论文情况,根据所查阅的文献资料,撰写2000字左右的文献综述:文献综述《技术综合应用实例与分析》首先阐述了EDA技术综合应用的形式、设计方法与建模、典型单元电路的设计、主要软件及设备、PCB的设计与制作等基础知识。
接着介绍了多路彩灯控制器、智力抢答器、电子密码锁、微波炉控制器、交通控制器、综合计时系统、数据采集控制系统、电梯控制器、车载DVD位控系统、直接数字频率合成器DDS、图像边缘检测器等11个EDA技术综合应用系统的设计,以及等精度数字频率计、出租车计费系统、低频数字相位测量仪、电压控制LC振荡控制器等4个EDA和单片机综合应用系统的设计[1]。
随着现代通信、定位和导航技术的不断发展,全球定位系统(G10bal Position SySt,GPS)终端系统的功能越来越强大,系统复杂程度也随之提高,因此在终端设计中,对信号源的频率稳定度、频谱纯度、频率范围和输出频率的个数提出了新的要求。
为了配合GPS接收机的研制和测试,研制一种高准确度的卫星信号模拟源是必备的手段[3]。
传统通信信号源信号的产生使用模拟方法,设备笨重,且精度不高,只能产生几种简单的波形。
利用DSP芯片来实现的信号源,如使用TMS320VC5402,具有可编程,灵活性大等特点,但指令执行为串行结构,转换速度不快。
利用BPSK专用芯片来实现的信号源,如MAX2402,具有转换速度快,使用方便等特点,但它不能满足频率个数多的要求。
现场可编程门阵列FPGA 器件是一种新型高密度PLD,采用CMOS-SRM 工艺制作[4]。
FPGA 器件将半定制的门阵列电路和可编程逻辑器件的用户可编程性结合在一起,现在已经成为新一代系统设计的积木块。
使用FPGA 进行逻辑设计可以使电子产品做到小型化、集成化,具有很好的灵活性、保密性和可靠性,并且借助先进的FPGA 开发工具,设计成果具有很好的移植性。
目前人们对波形发生器的要求也越来越高,功能不断得以扩展,可靠性要求更好。
为了满足人们需求,本文介绍了一种基于FPGA 多功能波形发生器,与微控制器控制的波形发生器相比该设计更灵活、功能更大、可靠性更高[5]。
在电子测量仪器家族中,信号发生器是一种很重要的仪器,它是电子测试系统的苇要部件,是决定电子测试系统性能的关键设备。
对数字信号发生器的设计进行研究具有很大的发展潜力[3]。
作为专用集成电路(ASIC)领域中的一种半定制电路,FPGA 既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。
可以毫不夸张的讲,FPGA 能完成任何数字器件的功能。
传统的波形发生器一般采用MAX8038 为核心芯片并加以必要的辅助电路,这种波形发生器能够输出的频率范围只能在较低的频率范围内,而且输出的波形有一定程度的失真。
而如果采用直接数字合成的方法所能产生的波形范围就会大很多,作为目前嵌入式领域的新技术,SoC 系统以其强大的性能成为目前硬件开发的首选,在FPGA 中集成DDS 实现的SoC 系统所属出的波形具有较宽的频率范围,波形也几乎无失真[4]。
在自动控制系统设计、调试和现代电子学的各个领域,经常需要高精度、高频率且频率可方便调节的正弦波、锯齿波、方波、三角波等信号作为信号源旧。
函数信号发生器是在科学研究和工程设计中广泛应用的一种通用仪器。
函数信号发生器的实现方法通常有以下几种:(1)用分立元件组成的函数发生器,但通常是单函数发生器,其频率不高,工作不够稳定,不易调试;(2)由晶体管、运放IC等通用器件制作,多用专门的函数信号发生器产生信号,如早期的函数发生器芯片8038,其功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号。
调节方式也不够灵活,频率和占空比不能独立调节,且相互影响;(3)利用专用直接数字频率合成DDS芯片的函数发生器.它能产生任意波形并达到很的频率,但成本较高;(4)采用MAXIM公司新一代专用函数信号发生器芯片如MAX038等[4]。
本文结合FPGA和DDS技术,利用DSPBuilder设计函数信号发生器.输出频率、相位精确可调的函数信号。
并且频率及相位能够快速切换,避免了用VHDL语言设计函数信号的复杂性[9]。
且比传统实现函数信号发生器更简单和快捷。
FPGA(Field Programmable Gate Array)是目前广泛采用的一种可编程器件M.随着微电子技术的发展.现场可编程门阵歹IJ(FPGA)得到了飞速发展。
FPGA的时钟延迟可达到纳秒级,结合其并行工作方式,在超高速、实时测控方面有非常广阔的应用前景,具有工作速度快、集成度高和现场可编程的优点[12]。
它的应用不仅使得数字电路系统的设计非常方便,并且还大大缩短了系统研制的周期,缩小了数字电路系统的体积和所用芯片的品种。
而且它的时钟频率已可达到几百兆赫兹。
加上它的灵活性和高可靠性,几乎可将整个设计系统下载于同一芯片中,实现片上系统,非常适合用于实现波形发生器的数字电路[7]。
参考文献:[1] 谭会生,瞿遂春等.EDA 技术综合应用实例与分析,西安电子科技大学出版社,2004,11.[2] 万耀、李小清、周云飞、潘海鸿. 基于FPGA 多通道数据采集系统设计, 微计算机信息,2007 2-2:199-201[3] 全国大学生电子设计竞赛组委会; 全国大学生电子设计竞赛获奖作品选编; 北京D北京理工大学出版社[4] 会议论文黄庆彩,祖静,裴东兴.基于MAX8038的函数信号发生器的设计 2004[5] 周德新,杨代文,王凯,樊智勇.基于FPGA的选择呼叫信号源设计[期刊论文]-自动化仪表 2009(5)[6] 唐琦,徐宏杰,郭耀仪.基于DDS技术的动态偏振控制器驱动电路研究[期刊论文]-现代电子技术 2009(12)[7] 学位论文姜鲁鹏.基于FPGA的任意波形发生器的设计与实现 2007[8] 朱昊. 基于技术的噪声分析及抑噪实现; 海洋技术[9] 罗泉,刘芝,刘桂英.基于FPGA的DDS信号源设计[期刊论文]-广西师范学院学报(自然科学版) 2009(2)[10] 高士友,胡学深,杜兴莉,刘桥.基于FPGA的DDS信号发生器设计[期刊论文]-现代电子技术 2009(16)[11] 学位论文万永波.基于ARM的任意波发生器开发研究 2006[12] 学位论文黄振华.基于FPGA函数信号发生器的设计与实现 2009[13] Altera Corporation Altera Digital Library 2002毕业论文开题报告2.本课题要研究或解决的问题和拟采用的研究手段(途径):2.1本课题要研究或解决的问题:(1)16路直流信号,幅度可调。
(2)8bit。
2.2本课题拟采用的研究手段:(1)查阅资料,在了解系统工作原理的基础上,进行方案比较和设计。
(2)计算机产生波形数据,利用RAM存储波形。
(3)设计VHDL程序,利用FPGA控制D/A。
本系统以FPGA 为核心,其中的系统控制器主要负责控制系统的每个部分状态及之间的协调。
其中的加法器是10 位的,当只选择一种波形时,加法器等效于传输线,不对波形数据做处理;当选择两种或两种以上波形时,加法器先把送进来的数据进行叠加,把最后的数据的最低2 位舍去,把剩下位送到波形DAC 中。
调节正弦波、三角波、锯齿波、方波A、方波B 的幅度是通过调幅DAC 的数据的大小来更改控制调幅DAC 输出电压的高低的变化,而此输出的电压作为波形DAC 的基准电压,从而实现对幅度的控制的。
除此之外,还可以进一步调节方波A 和方波B 的幅度。
因为方波的波形只有两种状态(高、低电平),而波形DAC 的数据有8 位,可以表示256 中状态,“00000000“此状态无意义,所以还有255 种状态,即在送入调幅DAC 的数据不变的情况下,更改送入波形DAC 的数据可以产生255 种幅值不一样的方波。
所以方波幅值量化的解阶数为波形 DAC 是根据输入的波形数据,产生相应的模拟波形的输出。
调幅DAC 则是根据输入的幅度调节数据,用来调节波形DAC 的基准电压,达到输出波形幅度调节的目的。
拟采用的研究方法如下图所示图1 系统的设计模块通过以上的模块主要完成的是对于12路直流信号,6路方波信号,5路正弦波信的产生和选取,通过选通开关选择输出哪路信号,通过控制FPGA选择幅度和频率的调节,这样就可以完成题目的要求。
FPGA模块:FPGA(Field Programmable Gate Array)是目前广泛采用的一种可编程器件M.随着微电子技术的发展.现场可编程门阵列(FPGA)得到了飞速发展。
FPGA的时钟延迟可达到纳秒级,结合其并行工作方式,在超高速、实时测控方面有非常广阔的应用前景,具有工作速度快、集成度高和现场可编程的优点。
它的应用不仅使得数字电路系统的设计非常方便,并且还大大缩短了系统研制的周期,缩小了数字电路系统的体积和所用芯片的品种。
而且它的时钟频率已可达到几百兆赫兹。
加上它的灵活性和高可靠性,几乎可将整个设计系统下载于同一芯片中,实现片上系统(soc),非常适合用于实现波形发生器的数字电路。
该模块主要是产生各种的波形,比如正弦波,三角波,方波,锯齿波等。
D/A转换模块:D/A转换器按工作方式可分为并行D/A转换器、串行D/A转换器和间接D/A 转换器等。
在并行D /A 转换器中,又分为权电阻D /A 转换器和R -2R T 型D /A 转换器。
下面以R -2 R T 型D /A 转换器为例简要介绍D /A 转换器的工作原理。
如图所示为R -2 R T 型D /A 转换器原理电路。
图2 D /A 转换器原理电路图2所示的电路是一个3位二进制数的D /A 转换电路,每位二进制数控制一个开关S 。
当第ι位的数码为“0”时,开关Sι打在左边;当第i 位的数码为“1”时,开关Si 打在右边。
当S0接通时,由图2可知)214181(214181I I I 121I 41I 81I 2,"'I 2',"' I R 2R B 2"''"I'2102102222121110001000++-=++=++===========+===∑R U I I I I I I I I I I I I I I I nf )(,,则可推出同理可推出,所以的并联即等于点对地电阻相当于两个由于(1-1)将式(1-1)推广到n 位二进制数的转换,可得一般表达式)2222(1011110n n n n f nf a a a a R R U ++++⋅⋅-=--∑输出电压为:)2222()1(01111010n n n n f nfa a a a R R U R U ++++⋅⋅-==--∑(1-2)式(1-2)的输出电压会因器件误差.集成运放的非理想特性而产生转换误差。