Pilot Flow Training - 03_ Low Power
- 格式:pdf
- 大小:354.59 KB
- 文档页数:29
Axiom™ AXExplosionproof on/off valve controller7AX20STEN12 | Valve communication & control Axiom™ AXAdvanced performance and reliability in harsh environmentsUniversal applicationOne conventional model will satisfy most applications with standard 20 to 240 VAC or VDC monitoring feedback and solenoid control. Standard models also feature high flow five-way, two-position pneumatic control suitable for both single- and double-acting actuation. Bus communication models offer the same pneumatic control and have pilots tuned for very low power consumptionminimizing voltage drops on long cable runs.Rugged constructionChoose from the robust epoxy-coated anodized aluminum or the 316 stainless steel enclosure designed for explosionproof applications. This platform is extremely durable and is also well-suited for use in corrosive, heavy washdown and high seas environments.Exceptional reliabilityThe Axiom is designed to perform reliably in adverse conditions. Its non-contact position sensing system, with fully potted and sealed electronics, is completely protected inside the water-tight explosionproof enclosure. The integral pneumatic control is tolerant of contaminants and able to operate on standard plant air. A rebreather capability is also standard, eliminating potential ingestion of outside contaminants into the spring side of single-acting actuators.Space efficient designThe Axiom AX encloses all electrical components in an explosionproofcompartment with less than 5” (130 mm) clearance requirement above the top of the actuator. Additional clearance for cover removal is less than 2” (50 mm) because there is no shaft to lift over. The automated valve spacing envelope is minimized without compromising performance or maintainability.The Axiom explosionproof platform, available in epoxy-coated anodized aluminum or stainless steel, will withstand your most challenging plant environments. Its advanced position monitoring and integral pneumatic control offer the ultimate in reliability, convenience, and value.+1 218 739 5774 Valve communication & control | 38. Durable enclosure and manifold/mounting plate are available inepoxy-coated anodized aluminum or 316 stainless steel. All fasteners, indicator couplers, and pneumatic valve end-caps are made of 316 stainless steel.9. Push button set points for openand closed accurately lock in position settings which remain in place when power is removed and reapplied.10. Electronic components are sealedand potted inside function module to protect against residual moisture, vibration, and corrosives.11. Rapid enclosure access withthe screw-on cover saves valuablemaintenance and set-up time. The cover provides a vapor tight seal and allows entry to internal components in seconds.12. High accuracy position sensorsystem is solid state with no moving wear points for highly reliable and precise position feedback.13. No bushings or shafts will wear out.Electronic module, with magnetically driven position sensor, is fully isolated from the outside environment. Actuator wear causing shaft “wobble” will not affect monitoring performance.14. High visibility mechanical and electronic indication confirmsopen/closed position and solenoid status for greater safety and convenience.15. Axiom directly attaches to VDI/VDE 3845 (NAMUR) actuatorsand many others using a compact mounting manifold system (sold separately).1. Universal voltage solenoid systemoperates on less than 0.6 watts of power and is burn out proof. Standard version will accept 24 VDC, 120 VAC or 240 VAC reducing stocking requirements.2. Prefiltered pilot valve providesadditional protection from contaminants.3. Easy removal from automated valve package is accomplishedwith captured stainless steel fasteners and unique modular design.4. Integral pneumatic valve operateson standard plant air, will cycle most actuators in less than two seconds, and is modularized for easy clean out if fouling occurs.5. External pneumatic valve overrideoptions are available enabling localautomated valve operation. (Internal pilot momentary override is standard on all solenoids.)6. Standard 5-way, 2-position valveoperates both single-acting and double-acting actuators and features a standard rebreather to feed instrument air into spring side of actuator to keep out corrosives.7. Highest explosionproof ratingssuitable for use in Ex d IIC Zone I and Class I, Division 1 areas.Stainless steel enclosureEpoxy-coated aluminum enclosureThe Axiom’s pneumatic valve system consists of a low-power pilot that drives the main high-flow spool valve. Pilots may be selected for conventional or bus networking applications. Bothstages of the pneumatic valve system have been designed for long life, high tolerance to air linecontaminants, and ease of maintenance should components become fouled.Dual pilot configurationDual pilot options may be selected for special applications suchas shuttle piston for fail-in-last position. External manual overrideoptions are also readilyavailable. For special valveconfigurations with non-standard manual overridefeatures please consultStoneL.Special features• Pilot and main spool design offer long life, exceptional tolerance todirty air, and tight shut-off.• Spool and pilot valve may be conveniently removed and cleaned iflarge contaminants become lodged in the valve.• Universal voltage solenoid system may be used for standard AC orDC applications.• Five-way, two-position spring return configuration may be used foreither single- or double-acting actuators. Dual coil shuttle pistonversions are also available for fail-in-last position.• Low power consumption of solenoid reduces current flow on busnetworks enabling more units and longer distances on a singlesegment.• Rebreather channels exhausted air from pressurized side ofactuator into spring side, preventing ingestion of contaminatedair from the environment that may corrode springs or actuatorinternals.• Standard internal manual override enables convenient set-up.• Removable stainless steel sintered metal prefilter reduces potentialfor fouling pilot valve.• Available in 0.7 or 1.2 Cv to satisfy pneumatic flow requirements formost actuators.Pneumatic control4 | Valve communication & control +1 218 739 5774 Valve communication & control | 5Double-acting actuatorSpring return actuatorManifold and mounting systemThe mounting manifold system directly attaches the Axiom to the actuator and ports air from the pneumatic valve to the actuator. Included in the manifold system are:1. Actuator shaft adaptor and fastener.2. Epoxy-coated anodized aluminum or stainless steel mountingplate manifold with o-rings and stainless steel fasteners.The manifold system readily adapts to VDI/VDE 3845 NAMUR sizes 1 and 2. Special variations may be made for sizes 3, 4 and non-standardized quarter-turn actuator mounting patterns.Modular mounting design cuts valve removal costsThe Axiom enclosure may be quickly and conveniently disconnected from the actuator. Electrical components and wiring, along withpneumatic supply, may remain attached to the explosionproof enclosure while it is removed from the mounting/manifold plate (pneumatic supplyshould be shut off ). Mounting/manifold with pneumatic tubing remains attached to the valve/actuator which thenmay be pulled out of line.The mounting manifold system is specified and sold separately. Kits are specific to actuator manufacturer. For kit numbers visit: /mounting.Single or double-acting configurationThe same Axiom model is suitable for both single-acting/springreturn (SR) and double-acting (DA) actuators. The standard rebreather capability for single-acting/spring return is built in. Field configuration may be made by conveniently removing and reinserting the plug for the appropriate actuator type. For rebreather to function properly, both manifold ports must be tubed to the actuator.6 | Valve communication & controlSensing and communication moduleOverviewThe Axiom platform has all position sensing, communication or switching integrated into StoneL's C-module. Users may set position switches conveniently and accurately on all modules. And easy to view instructions, along with LED indication, are boldly displayed on the module itself.Sensing and communication module+1 218 739 5774 Valve communication & control | 7Sensing and communication module continued8 | Valve communication & control +1 218 739 5774 Valve communication & control | 9Position sensorThe Axiom utilizes a magnetic resistive (Mag Res) sensor system that monitors exact valve position. The Mag Res sensor system is tolerant of lateral and vertical shaft movement which may be experienced inhigh cycle worn actuators without affecting rotational measurement. No cams, shafts or other mechanical apparatus are required that are prone to wear andbinding.C-moduleUsed in the Axiom platform, the C-module (continuous sensing) integrates a magnetic resistive sensor system to monitor exact valve position throughout the rotational range. Push button or remote open and closed position setting along with microprocessor based operation make this state-of-the-art system convenient, reliable, and smart.Open and closed settingsSwitches correspond to a particular valve position and are set using the push button panel on the module’s sealed membrane pad. Simply operate the actuator to the open position (using standard internal manual override) and push the “Set Open” button. Operate the actuator to the closed position and push the “Set Closed” button. Position settings remain locked in when power is removed and reapplied.Visual indicatorVisual indicator designationsClearly view valve position status from up to 75 feet with the Axiom's visual indicator. The indicator’s rugged Lexan® construction makes it resistant to physical damage and tolerant to most corrosives.Position sensor and module10 | Valve communication & control Identify potential problems • Check air supply pressureAlerts are activated if low or high levels exceed preset thresholds that would threaten pneumatic valve or actuator performance.• Determine solenoid conditionVoltage and current levels are monitored to determine the health of the solenoid coil whenever energized.• Local trouble-shooting displayDevice LED array identifies problem sources for rapid trouble-shooting and maintenance at the valve/actuator site.• Monitor pneumatic spool and pilot valve operationPneumatic valve spool position ismonitored to determine proper shifting performance when the solenoid is energized and de-energized.• Remote switch settingOpen and closed limit switch settings may be made with on-board push buttons or remotely through the control system.• Field identify with winkingTo positively confirm the field device identity, the control room may initiate the Wink function that flashes both open and closed LEDs without affecting valve operation.• Stuck process valve/actuatorIf the Axiom stalls in mid stroke and no Axiom problem sources are identified an alert will be energized to indicate the problem source is in the valve/actuator assembly.The AX (96) offers basic diagnostics for AS-Interface networkapplications that enable end use customers to increase uptime and reduce maintenance costs. Axiom AS-Interface diagnostic systems interface with any version 2.1 or greater masters/gateways.Electrical connectionsThe Axiom with AS-Interface diagnostics uses standard (1-31)addressing with a 4DI/4DO profile to maximize the diagnostic data available via the network. Diagnostic units may be integrated on the same network as other AS-Interface devices.Control system interfaceInterface up to 31 Axiom units into your control system.Communication bits may be mapped into standard DCS or PLC as desired. No special software is required. See the StoneL FieldLink program for information about the cost saving benefits and easy installation of the AS-Interface protocol.Axiom with AS-Interface diagnostics in AX (96)Diagnostic systemsReduce plant downtime and cut maintenance costsThe Axiom AS-Interface and HART models feature on-board diagnostics that predict potential automated valve malfunctions. As a result, plant downtime may be reduced by repairingautomated valves during planned shutdowns instead of process operations. Should problems occur during process operation, maintenance personnel will be aided by rapidly locating failure causes, consequently speeding up valve repair and operation renewal.T416 alarm: low air supply pressure+1 218 739 5774 The AX71 is a valve monitoring and control device for discrete quarter-turn automated valves. Used in conventional applications, it has the added capability of providing diagnostic information for the pilot solenoid, spool valve, and actuator. And, the device stores historical data on each open and closed operation.Excessive valve torque changes24 VDC4-20 mAMaintenance PCBluetooth ®or USBExternal HART ModemAnalog Input (AI)** 24 VDC power supply assumed as part of the loop.HART ModemDiscrete Output (DO)*12 | Valve communication & control Fast, convenient set-upCalibration may be performed quickly and easily using the Axiom Expeditor’s readily accessible membrane control pad. By simplyfollowing the on-board instructions, with the unit powered up, all set-up procedures may be performed in a few easy steps and the actuator evaluated for proper stroke timing.During set-up, as mentioned above, the Axiom Expeditorautomatically gages the speed of the actuator to determine if flow restrictors are needed. If full stroke is less than one second, flowrestrictors (included with each Expeditor from the factory) are required to assure smooth, consistent intermediate control operation.Axiom ExpeditorImprove process performance and prevent damage to equipment with intermediate control With expanded control and monitoring capabilities, the Axiom Expeditor offers unparalleled value in batch processing applications. Below are a few examples of applications where the Axiom Expeditor may improve your plant operation.Fill controlFill tanks and hoppers rapidly and accurately. You can set the Axiom Expeditor to partially close the valve to reduce flow as the full level approaches. You get fast, economical “topping off” of every batch with a single valve sized for high flow rates, which may be throttled back at the end of the fill cycle.Flow dampeningThe Axiom Expeditor allows valves to close using multiple steps, which inhibits water hammer resulting from a sudden full closure. You get prolonged valve and piping life, improved process flow performance and less potential for catastrophic failure.Thermal shock reductionBy partially opening a standard discrete valve, steam lines are heated gradually; thus preventing thermal shock. Once lines are heated, full opening may occur minimizing any potential damage to steam lines. This is especially critical in CIP (clean-in-place) and SIP (steam-in-place) applications.Fu ll o p enPa rt ia l o p enC lo sedFi llTo p o ffFu ll+1 218 739 5774 Valve communication & control | 13Simple operation and control system integration• Full open and closed cycling is performed by energizing and de-energizing the discrete 24 VDC output (DO) from the control system.• A preset intermediate position may be achieved by maintaining power from the discrete output (DO) and switching on the analog output (AO) at a preset level between 4 and 20 mA.• Intermediate control is achieved by maintaining power from the discrete output (DO) and energizing the control system’s analog output (AO). By changing the AO signal, the Axiom control output will toggle the solenoids to the desired position within ±4% of full scale.• The valve/actuator operates to the fail-safe position whenever the discrete output (DO) is de-energized.Control SystemAxiom ExpeditorDiscrete Output (DO)*Analog Output (AO)*Analog Input (AI)*Solenoid PowerControl InputPosition MonitoringControl Output*24 VDC power supplyassumed as part of the loop.Actuator14 | Valve communication & control +1 218 739 5774 Valve communication & control | 15DimensionsSubject to change without prior notice. Neles, Jamesbury and Easyflow by Neles, StoneL, and certain other trademarks, are either registered trademarks or trademarks of Neles Corporation or its subsidiaries or affiliates in the United States and/or in other countries. For more information /trademarks26271 US Highway 59, Fergus Falls, MN 56537 USA Tech hotline +1 218 737 0701Tel. +1 218 739 5774Email:**************** Publication Number S-912-12/20。
Shutdown actuating protection停机联动保护Protection actuation for generator-transformer set 发变组保护联动引出压板Outlet connecting strip矩阵插点Matrix pin 失磁低阻抗field - loss low impedance 开相闭锁open - phase block 95% 定子接地保护95% protection against stator earth fault转子一点接地保护rotor - one point earth protection 220KV侧CT补偿变流器变比:0.43/1 Transformation ratio of CT compensated current transformer on 220KV side:0.43/1发电机侧CT补偿变流器变比:3.72/1 Transformation ratio of generator CT compensated current transformer :3.72/1 椭圆率ellipticity Connecting strip 压板YH=voltage transformer Steel gasket钢纸垫Disconnectors隔离刀闸earthing blades接地刀闸Hoist电动葫芦,卷扬schematic diagram 示意图dotted line block虚线框restrain excitation inrush current 抑制励磁涌流weight balance "hammer"重操作箱锤bevel wedge斜楔air admission hole进气口single-side gap单边间隙trial operation试运行Bulkhead防水壁one and half breakers一个半断流器(接线方式)percussive drilling冲击钻探Storage battery电瓶6.3KV busbar supplies service power of plant(6.3KV)母线供应厂用电Oil head受油器oil receiver油罐air receiver 储气罐Stop valve截止阀check valve止回阀non-return valve逆止阀gate valve闸阀Nameplate (data plate)铭牌rated value整定值generator longitudinal differential protection发电机纵差保护变比=电流变化比例系数current change proportion coefficient/ transformation radio 防水门bulkhead gate 架平台erect the necessary scaffold;scaffold脚手架国电东北公司人力资源部主任Section Chief of Human Resources of Northeast Branch of National Power Corporation 厂长directorput in service in grid并网发电=operate in grid=incorporate in power network zero-initial step-up voltage test零起升压试验SCR silicon controlled rectifier 可控硅visual annunciator(alarm)光字牌integrate theory with practice理论联系实际Impulse Closing Test for Main Transformer= closing switches at the high voltage side and supply power for main transformer. 合脉冲变试验breach破裂,裂口standby diesel generating unit, bottom gates MS6 (lifting& lowering), work out制定To adjust operation mode of system and equipment to achieve safe, stable and economic operation. To execute weekly program of changing-over operation modes of equipment, Vaseline 凡士林petroleum jelly (=petrolatum)凡士林油, 矿油,石油膏on load带负荷starting under load带负荷起动connect in parallel并联measure insulation摇绝缘wind tunnel/ channel风洞flexible circuit conductor软接手earth dam土坝penstock水渠surge tank浪涌槽tailrace 尾水irrigation canal灌溉渠step-up substation递升变电站switchyard开关站quadrate方形的reinforced concrete加固混凝土attached drawing附图water intake进水power generation(generate electricity)发电irrigation-discharging pipe灌溉排水管, at the intake of the penstock水渠入口, air hole气孔vertical-cylindrical type立柱式Pressure steel pipe压力钢管emergency gate快速门trash rack拦污栅Water sump and drainage equipment集水和排水设备on the left of the powerhouse. Operating oil tank操作油箱insulate oil system绝缘油系统sidewall边墙,侧壁overhead transmission line变送线sigle-busbar connection单母线接线The two units are directly connected with 6.3KV busbar, the voltage stepped up to 34.5KV through an transformer, and linked up with local power system through transformer lines.Hand-operated standby unit手动备用机组Dynamic water动态水prevent the aggravation of the accident防止事故恶化the state of overhauling大修状态hydraulic turbine-generator unit and auxiliary equipment水轮发电机组和附属设备synchronous generator同步发电机/ 配套发电机parameter参数runner diameter转轮直径efficiency效率guarantee保证range of steadyoperating稳定运行范围maximum guaranteed output最大保证出力in no-load以空载inlet pipe进水管distributor导水机构draft tube尾水管hydroenergy (potential energy and kinetic energy)水流的能量(位能和动能) transform into转换成mechanical energy机械能(pl) 用法说明Full directions inside内附详细说明书couples with同…联接can achieve to startup or stop unit, incorporate in power network, add or reduce load.能实现开机、并网、增减负荷和停机。
Fluid Control Division Global Marketing Update High Flow Valve Actuation SeriesGlobal Marketing Update No. 134, June 14, 2007 __________________________________________________________________________________________________________________________________ Parker Fluid ControlDivision is continually expanding its Valve Actuation product portfolio to meet the ever increasing demands of the process industry. Our goal is to provide the highest quality feature enhanced portfolio to the actuation segment of the process industry.We are pleased to introduce our new Series of High Flow direct mount NAMUR solenoid valves for the Process and Industrial markets. The High Flow Series complements our highly successful specification grade 7300 Series product line. The High Flow product line provides new, cost effective solutions for general purpose customer application needs.Attachments and EnclosuresI Catalog BrochureII List Price SheetIII Installation, Operation andMaintenanceInstructionsIV Competitive FeatureComparisonV CompetitiveCross-Reference ValveListing PRODUCT FEATURESThe High Flow Series is a NAMUR interfaceinternal pilot spool valve for direct mounting toactuators. The NAMUR mount spool valve isavailable for either 3/2 normally closed valveor 5/2 four-way functionality.The axial valve construction allowsa low profile or in-line operatororientation with valve body valve style.The portfolio consists of 1/4” ported single and dual solenoid valves (Cv = 1.2) and a larger high flow 1/2” ported valve (Cv = 3.0) meeting a wide variety of application requirements. (Reference catalog pages and construction reference drawings)The valve body is a hard anodized aluminum. The standard pressure vessel end cap is made of an engineered thermoplastic.The primary valve characteristic is a patented “swimming” o-ring sealing system that increases sealing force as pressure increases thereby minimizing stiction potential at lower pressures. The valve series incorporates numerous other benefits including: •Utilizing a combined pneumatic and spring return for standard fail-safe operation in case of power failure.•The valves are equipped with a standard locking manual override.•The valve uses a “bug screen” nut exhausting the pilot section of the valve to atmosphere for safe outdoor service.•Mounting plates are available for use with the hazardous coils.The spool valve design uses a low power coil for reduced energy consumption and computer base control. DIN, conduit and hazardous coils complete the electrical portfolio.Global Marketing Update No. 134, June 14, 2007 __________________________________________________________________________________________________________________________________ OPERATION FUNCTIONALITY3/2 Single Solenoid (4 ported, 2 position)NAMUR valve, solenoid operated, for 3-way normally closed operation. In case of an electrical or air failure, valve returns to a fail-safe position through pneumatic and assisted spring return.5/2 Single Solenoid (5 ported, 2 position)NAMUR valve solenoid operated, for 4-way, 2 position operation. In case of an electrical or air failure, valve returns to a fail-safe position through pneumatic and assisted spring return.5/2 Dual Solenoid (5 ported, 2 position)NAMUR valve used for 4-way, 2-position operation. In case of an electrical or air failure, valve “fails as is”. Therefore, valve remains in last position upon failure and will not return to a predetermined position.5/3 Dual Solenoid, Center Position Closed (5 ported, 2 position)NAMUR valve used for 4-way, 2-position operation. In case of an electrical or air failure, the spool returns to the center position thereby removing pressure to the cylinder ports.TECHNICAL PRODUCT CHARACTERISTICSThe new line of valve actuation products offer many features and benefits as follows:Modular construction – Facilitates use of various body configurations and coil options.Mounting Configurations - Direct mount NAMUR pattern with axial operator orientation for single and dual solenoid models for low profile mounting requirements.Manual Override – Standard on all models for manual valve operation during start-up and diagnostic procedures.7mm (1.2Cv ) and 12mm (3.0 Cv) orifice sizes – Multiple sizes to meet various application demands to ensure the solenoid valve is properly sized.Body Materials - Lightweight anodized aluminum.Spool Design – Stainless steel with NBR sealing materials.Exhaust Pilot Cap– Protected pilot sleeve exhaust using “Bug Screen” nut. Helps protect against plugging, dirt, and insects, etc.Ratings – Meets pressures up to 150 psi.Ambient Temperatures – From 14°F (-10°C) to 122°F (50°C), serving most temperature environments. Fluid Temperatures – From 32°F (0°C) to 104°F (40°C).COIL PRODUCT CHARACTERISTICSA selection of low power encapsulated coils as follows:•DIN coil - with 3-pin DIN 43650 type B plug.•Conduit - 3-wire coil.•Hazardous – 3-wire coil with FM and CSA agency approvals meeting Class 1, Groups A,B,C,D and Class II, Groups E,F,G. Meets EEx m II T4 Division 1 requirements.NAMUR INTERFACEMany actuator manufacturers utilize a common mounting pattern referred to as a NAMUR interface for mounting configurations of solenoid valves.The actuator interface dimensions for NAMUR mounting of the pilot valve to the actuator is shown below (dimensions in millimeters).MATERIALS OF CONSTRUCTIONBody: Anodized AluminumEnd Covers: Thermoplastic – Glass-Filled Polyamid 6/6Plunger: 430 Stainless SteelCore Tube: 304 Stainless SteelSteelSprings: StainlessSeals: NBRCages: Polyamide Filled ThermoplasticSteelSpool: StainlessShading Rings: CopperMOUNTING BOLTSThe standard NAMUR interface includes (2) mounting bolts to mount the valve on to the actuator, a positioning stud and two O-rings seals.MOUNTING PLATESDue to the increased width of the hazardous coil to comply with FM and CSA certifications, a mounting plate must be installed as shown in the photograph.The mounting plate kit contains the plate, 2 o-rings, and 2 longer mounting screws.For the ¼” port valve, order kit N60001. For the ½ “ port valve, order kit N60002.ElectricalStandard voltages and voltage code:VOLTAGE CODE 12VDC A 24VDC B 120/60 C 240/60 D 24/60 E 120/50-60 F 240/50-60 GSolenoid Coil SpecificationsThe electrical portfolio is comprised of general purpose class F DIN coil per 43650B and conduit class H coils rated for NEMA classification Types, 1, 2, 3, 3S, 4, 4X. In addition, the hazardous location class H coil is rated for NEMA classification Types 7 and 9; Class I, Divisions 1 and 2, Groups A,B,C,D and Class II, Division 1, Groups E,F,G. The coils are rated for continuous duty application demands.The electrical selector table summarizes each coil specification:Coil Type Coil Part Number Class Protection Construction Agency22mm DINND1x F DIN connector needed for IP65 protection Per DIN 43650B epoxy moldedUL,CSA Conduit –Ordinary locationNC1x H Type 1,2,3,3S,4,4X Epoxy molded, ½ inch NPT conduit None Conduit –Hazardous locationNH1xHType 4X,7,9½ inch NPT conduitCSA,FMCOMPETITIVE FEATURES AND BENEFITSThe primary valve characteristic is a patented “swimming” o-ring sealing system that increases sealing force as pressure increases thereby minimizing stiction potential at lower pressures.The diagram shows a typical cross section view of the valve.valve-head to keep moisture out in brass or in stainless steel available on request, only in combination with alu-head Spool in stainless steel, other inner-parts made from brass, NBR, POM stainless steel Fiber-enforced PA-head, aluminium-version on request 360° turnable,Date CodePRODUCT LABELINGValves are sold in either:• A modular format ordering pressure vessel and coil separately. • Fully assembled with coil assembled to pressure vessel.In either case, the pressure vessel valve body provides the valve identification information defining the valve part number, performance rating and date code. Sample pressure vessel markingCoils will be marked with the appropriate part number, voltage and wattage, and agency information.Sample coil markingAll valves will be packaged with an installation, operation and maintenance instruction sheet indicating conformity with the CE Mark.ORDERING INFORMATIONPRODUCT OFFERINGReference the enclosed catalog brochure (Attachment I) describing the High Flow Series product offering. The catalog pages describe the valve features, general specifications, valve and electrical selection guide, dimensional drawings, and ordering information.PRICINGPressure Vessel list prices for the High Flow NAMUR Series valves are shown in Attachment II. ORDERING INFORMATIONThe Series uses a simple valve number to identify each model. The valves are available fully assembled or in modular form allowing application flexibility in electrical selection. The electrical parts can also be purchased in modular form.Reference the enclosed catalog pages.•When purchasing individual pressure vessels, select the pressure vessel shown on the catalog page and associated list price.•When ordering electrical parts, select the electrical part and associated list price for standard voltages.•When ordering complete valves, add the list price of each component to determine the complete list price for the fully assemble valve selected.The voltage code is the last character of the fully assembled valve or electrical part selected. For example, selecting a single solenoid, 5/2 configuration, 120/60 DIN coil, the complete valve number is:U341N03 + ND1FAVAILABILITY AND DELIVERYParker Fluid Control Division plans to maintain an appropriate service level of finished goods stock to meet business demand of the following NAMUR mount valves. If finished goods stock is available, units will be shipped within 5 business days from receipt of order for order quantities of 25 units or fewer:Product Class 1 Items:U331N03U341N03ND1B, ND1F, ND1G - 24vdc, 120vac, 240vacNC1B, NC1F, NC1G - 24vdc, 120vac, 240vacNH1B, NH1F, NH1G - 24vdc, 120vac, 240vacProduct Class 4 Items - the estimated lead-time (subject to change) is 4 weeks from receipt of order.U347N03U342N03U331N04U341N04ND1A - 12vdcNC1A - 12vdcNH1A - 12vdcAs always, for larger customer orders requiring shorter lead-times, Parker Fluid Control Division will work jointly with each customer by establishing finished goods items to meet customer provided forecasts.DISCOUNTSParker Fluid Control Division’s standard published discounts apply. Current terms and conditions apply for the product.SERVICERETURNSParker Fluid Control Division’s standard return policy applies.REPAIR PARTSParker Fluid Control Division is NOT offering component repair kits for field service at this time. Since the valve is a small, cost effective product, industry experience shows the process markets will replace the complete valve rather than rebuild the valve in the field.INSTALLATION OPERATION AND MAINTENANCE (IOM)An IOM has been created describing valve operation, installation and mounting instructions, maintenance, and troubleshooting procedures for the high flow Series NAMUR valves. Reference Attachment III, IOM HN01.WARRANTYParker Skinner’s standard 2 year warranty policy applies to the pressure vessel, coil and enclosures.PROMOTIONPromotional materials have been prepared to expedite introduction into the market place.CATALOG / LITERATURE• A new catalog has been created containing the features, specifications and outline drawings of the high flow Series valves.• A product launch announcement containing product introduction materials and detailed competitor information will be distributed to each Territory Sales Manager.TRAINING•Each Territory Sales Manager should contact their respective Fluid Control Division Authorized Distributor and Valve Actuation Accounts to arrange training.•The new high flow Series valves will be included in Fluid Control Division’s Valve Actuation Training Program.•Samples of the new high flow Series actuation valves will be provided upon receipt with a complete sample request form following standard Skinner Valve ™ sample procedures.PHASE-OUT OF 2340, 3300 and 7341 Series Product LinesThe introduction of the new product line has resulted in significant product overlap with the 2340, 3300 and 7341 series valves. Therefore, we are taking the following actions to phase out these product lines. Due to the low volume of many of the products, the old families will be replaced by the new High Flow NAMUR valve as follows:The Phase In – Phase Out schedule will begin upon introduction of the new High Flow NAMUR valve. It may be possible to order a specific older model until current inventory is fully depleted at which time the old product will no longer be available. Parker reserves the right to discontinue the old products sooner if conditions warrant.COMPETITIVE REVIEWReference Competitive Feature Comparison of select valves in Attachment IV. The attachment contains a broad selection of valves representative of the competitive offering.Attachment V provides a competitive cross-reference part number listing based on available information for the individual competitors.ASCO is considered the market leader for actuation valves. Other primary competitors include Versa, Automatic, and Herion.Below is a general description of the competitive product offerings.ASCOThe ASCO 8551 Series offers a product line of NAMUR and pipe mount versions. The portfolio consists of pad mount valves with various operator configurations with single and dual solenoids.In general, the ASCO 8551 Series consists of:•Anodized aluminum and stainless steel body using resilient spool construction. •Convertible 3-way and 4-way models based on the newer version. The older version consists of non-convertible 3-way and 4-way models that are similar to the 7300 Series. •20/35 to 150 psi operating pressure differential.•Single and dual solenoid, vertical and axial operator models available.•Cv 0.50 to 0.86.•AC versions from 2.5 watts to 10.1 watts.DC versions from 3.0 watts to 11.6 watts.•DIN, watertight and explosion solenoids available based on model.The Parker High Flow Series NAMUR mount valves are specified to meet the application requirement. A conversion or mounting plate is not required when using a DIN coil. The valve mounts directly to the actuator in a very cost-effective and efficient manner.VersaVersa offers a series of valves for process control markets including applications for pneumatic actuators and 316 stainless valves for corrosive requirements. The valve offering consists of NAMUR, body ported, lockout valves, latching and manual reset valves and redundant solenoid valves.Specific to the actuation area, Versa offers a convertible 3-way / 4-way version and direct acting 3-way pad mount valve. Available options include Intrinsically Safe, Low power and CENELEC flameproof enclosures.•3/2, 5/2 port plug versions. Valves field convertible by relocating a port plug converting from 3-way to 4-way or 4-way to 3-way.•Anodized aluminum, stainless steel and brass body using resilient spool construction. •Single and dual solenoid models (5/2) and dual solenoid centered position (5/3) with standard manual override.•15 to 115 psi operating pressure, Cv 0.75.•DIN, conduit and explosion solenoids available based on model.•8.5 watt AC, 10.5 watt DC.•Class A or F insulation.Automatic Valve Co. (AVC)They are considered the low price player in the market. They offer a NAMUR mount COMPACT Series. The series has limited options, but offers the ability to order valves with the solenoid on the left or right side.•3/2, 5/2 port plug versions, 1/4” npt porting only.•Anodized aluminum body using resilient spool construction.•40 to 150 psi operating pressure differential, Cv 1.0.•NEMA 4 and 7 solenoids, remote air and manual operators.•DIN, conduit and explosion solenoids available based on model, coil ratings to Class H. Herion•3/2, 5/2 port plug versions, NAMUR mount, 1/8” NPT, ¼” NPT, ½” NPT.•Anodized aluminum body using resilient spool construction.•25 to 150 psi operating pressure differential, Cv 1.1 to 1.4.•NEMA 4 and 7 solenoids, manual operators.•Low power coils available at reduced ratings.•DIN, conduit and explosion solenoids available based on models.•Locking and momentary manual override available.•Requires spacer plate for hazardous coil.Max-Air TechnologyU.S. division of M Technology Srl located in Italy. Also offer rack & pinion actuators and position indicators and mechanical switches that can be assembled as a complete unit.•3/2, 5/2 port plug versions, 1/4” npt porting only.•Epoxy coated aluminum body with plated spool. And standard manual override.•DIN and explosion solenoids available based on model, Class F standard, Class H optional. •Uses a pop-up air indicator located in pilot section to indicate if the solenoid valve is pressurized.•Optional IS and ATEX DIN coil construction.Q&A SESSIONQ? Why did we introduce the High Flow NAMUR Series valve product line?The principle reason for introducing the valve line is to meet increasing market demands for smaller, cost effective valve models for the process valve actuation markets. The Parker 7300 Series valve line offers a high-end specification grade product in multiple bodymaterials to meet general purpose ordinary requirements to the most stringent application requirements. Also, the 2340 series of aluminum body valves lacked a suitable hazardous coil limiting application potentials.The new product line overcomes the 2340 series deficiencies at a most competitive price for general purpose application requirements. The portfolio includes expanded models with higher flow factors for larger actuator designs. The new line includes a 1/2” namur valve to accommodate the trend toward larger actuators and higher flows.Finally, we’re continuing our strategic thrust in the process markets with a new product offering and responding to competitive product positions.Q? Will the High Flow Series product line replace the 2340 Series and 3300 Series valves?In a word, yes. First, since the introduction of the 2340 series and 3300 series valves(dating back to the late ‘90’s), many changes have taken place in the market. The general purpose ordinary location market generally does not require the high technical or safety standards found in these product lines. Due to deficiencies in the former product lines, specifically the lack of a hazardous coil, we were not able to meet the availability and price competitiveness. The current product lines are focused toward higher technicalrequirements resulting in low-volume market segments and overlap price-wise with the 7300 Series valve lines. To reduce complexity and offer a simplified, cost-effective processactuation valve line, the 2340 catalog portfolio will (eventually) be phased-out.Q? Describe the comparison to the 7300 product line.The High Flow Series offers similar product features and benefits compared to the 7300 Series, in particular:• Total modularity.•Interchangeable electrical parts.•Unique spool designs to eliminate risk of sticking.•High quality in proven valve designs.•Standard manual override.The distinctive features of the High Flow Series compared to the 7300 Series include:• Smaller physical size.•More cost effective compared to 7300 with manual override (for certain type application needs).Q? Where must the 7300 series valves be used versus the new line?The 7300 series contains features, multiple operator configurations and electrical coilsappropriate for more demanding critical applications including:•Higher flows appropriate for higher actuator torque specifications.•Intrinsically Safe (IS) and ultra low power environmental conditions.•Manual reset options used as a safety device on solenoid valves to prevent process resumption without manually resetting each valve.•Low temperatures application demands of –40°F/C.•Field convertible manual overrides including locking and momentary requirements. Q? Will a conversion plate eventually become available?The product line contains individual 3-way and 4-way valve configuration. When utilizing a DIN coil, this method provides the most cost-effective, competitive solution. Granted, whilea conversion plate offers the benefit of using one style valve, it also adds significant andunnecessary costs. Since the valve is focused and priced to pursue higher volumerequirements, the valve functionality will be known.。
Pilot Flow TrainingLow PowerPilot 3.1Predictable SuccessLow Power Flow•Low power is not a single point solution, rather it is theapplication of several methodologies throughout aflow -all aimed at decreasing power•Low Power is supported throughout the Pilot Flow with© 2006 Synopsys, Inc. (2)the following featuresClock GatingMulti-Vt Library supportStatic/Dynamic Power OptimizationUPF MVDD Implementation –see UPF unit for details Static/Dynamic Power AnalysisFill Cell, Fill Metal (ICC, Hercules)Final Extraction (Star RCXT)DRC/LVS Virtual Flat Planning MVDD Regions Power MeshCreation/Synthesi sPrototype Route RTL Synthesis Clock Gating DC, DCT GateOptimization (Design Scan Insertion ScanCompression JTAG Integration GateOptimization (Design Placement Optimization HFNS, Clock Optimization RouteOptimization RTLGDSIISynthesisDesign ForTest Design Planning P&ROptimization Chip FinishingSteps Tasks© 2006 Synopsys, Inc. (3)(Hercules)and IPOPin Assignment Budgeting (ICC)Compiler)Compiler)Global Analysis TasksSTA, Formal, ATPG, Power, Rail, EM/IRPower Closure SI Closure (IC Compiler)Metrics Capture & AnalysisGlobal TasksLow Power FlowClock GatingMulti-Vt LibrariesStatic/Dynamic OptimizationStatic/Dynamic Power Analysis© 2006 Synopsys, Inc. (4)Clock gating•Clock-gating insertion is a 2-step processperformed as part of the syn task in the SYN step The syn task usesTEV_PRE_COMPILE_SCRIPT to specify a design specific script to load before compiling the design•TEV_PRE_COMPILE_SCRIPT points to a local scriptblocks/<block>/scripts_block/syn/pr e_compile.tcl•The set_clock_gating_style command is © 2006 Synopsys, Inc. (5)part of the script. This command allows you to specify your clock-gating requirementsIn addition to the clock-gating specification, TEV_SYN_CMD must include “-gate_clock”•TEV_SYN_CMD is used to specify the compile command and options you want to use for your block•The default value of TEV_SYN_CMD is $tev_syn_cmd_default is compile_ultra –gate_clock –scan (for DCT)•To disable clock-gating, setTEV_SYN_CMD to not include “-gate_clock”Clock gating TVAR Settings•The default clock gating specification used in pre_compile.tcl makes use of two TVAR variables to define ICG (Integrated Clock Gating) cellsTVAR(lib,icg_scan_cellname) TVAR(lib,icg_noscan_cellname)•These are used as the –positive_edge_logic argument in the set_clock_gating_style command© 2006 Synopsys, Inc. (6)Which one is used depends upon whether scan is enabled for this designLow Power FlowClock GatingMulti-Vt LibrariesStatic/Dynamic OptimizationStatic/Dynamic Power Analysis© 2006 Synopsys, Inc. (7)Multi-VT Libraries•Pilot has variables which are used to set the libraries used for Multi-Vtoptimization throughout the flowThere is one variable used to specify the standard cell target libraries to be used•TVAR(config,target_libs)The link library list variable should always contain the target library settings plus any non-target libraries such as IO or RAM•TVAR(config,link_libs)© 2006 Synopsys, Inc. (8)Pilot Default Multi-Vt Flow•The Flow is configured to perform Multiple Vt optimization bydefault.If you are running DCT during the SYN step, leakage power optimization willhappen in the “syn” taskDuring the PNR step, Multi-Vt optimization will begin with theicc_place_opt task and continue through all other tasks© 2006 Synopsys, Inc. (9)•Variation on this flow can be effected by simply changing theappropriate variablesFor a single Vt flow simply specify a single Vt library in your targetlibrary variable TVAR(config,target_libs)Multi Vt Filler Cells•Filler cell insertion for Multi-Vt designs is technology dependentCan support both fill and decap-fill cells•To handle this a technology specific script is supplied with Pilot toperform multi-vt fill cell insertion in ICCscripts_techlib/<technology>/finish/icc_insert_filler_cells.tcl © 2006 Synopsys, Inc. (10)This is called out in the finish flow, it will need to be edited for newor updated technologies not supported in an initial Pilot release.It has some TEV parameters to control it as shown on next page This can perform a mixture of rules based and heuristic based fillercell insertion as requiredicc_insert_filler_cells.tcl© 2006 Synopsys, Inc. (11)Low Power FlowClock GatingMulti-Vt LibrariesStatic/Dynamic OptimizationStatic/Dynamic Power Analysis© 2006 Synopsys, Inc. (12)SYN Step Power Optimization•As per the recommended flow leakage power optimization is enabled in the default DCT flow. This is enabled byputting the following constraint in the constraints_design.tcl fileset_max_leakage_power 0•Dynamic Power is not enabled by default. To enable dynamic power optimization, you should add one of the following © 2006 Synopsys, Inc. (13)constraints to constraints_design.tcl set_max_dynamic_power 0 set_max_total_power 0•If dynamic power optimization is enabled, the tools by default use a vector-free approach for switching activity. If you would like to annotate your own switching activity file, you should include the appropriate commands inconstraints_design.tcl to include the file.PNR Step ICC Power Constraints Setup•Like the rest of the flow, the PNR step uses a vector-free approach for switching activity by default•If you annotated a switching activity file as part of the initial constraint file loaded duringSYN, the switching activity should carry forward to the rest of the flow in the database.© 2006 Synopsys, Inc. (14)•If you did not annotate a switching activity file during SYN, but would like to annotate one during PNR, then you should include the appropriate switching activity commands in the the PNR section of constraints_design.tcl.If you are enabling MCMM for ICC, then you should include switching activity for each scenario in constraints_design.tcl if you elect to NOT use the default vector-free approachDC/ICC Power Constraints•It is NOT a requirement to load switching activity files. DC andICC by default use a vector free approach for calculating dynamicpower consumption. Pilot is configured to use the vector-free approach by default.•The loading of switching activity, if NOT using the vector-free approach, should be included as part of the timing constraint file, © 2006 Synopsys, Inc. (15)TEV_CONSTRAINT_FILEDefault : blocks/<block>/scripts_block/conf/constraints_design.tcl Any valid tool command (e.g. set_switching_activity) can beincluded in this file.When MCMM is enabled, switching activity should be included for each scenario.DC/ICC Non-MCMM Power Constraints•For non-MCMM optimization, no scenarios are defined in constraints_design.tcl DC power optimization is © 2006 Synopsys, Inc. (16)•enabled byset_max_leakage_power, set_max_dynamic_power, set_max_total_power•Switching activity loaded once based upon commands included inconstraints_design.tclBy default no switching activity is loaded in the vector-freeMCMM Power Constraints•For DC/ICC MCMMoptimization, powerconstraints, if any, should beincluded for each scenario inconstraints_design.tcl© 2006 Synopsys, Inc. (17)PNR Step Power Optimization•Power optimization can be enabled during three tasks in the PNR flowicc_place_opt•To enable include “-power” in the TEV_PLACE_OPT_OPTIONS variableicc_clock_opt•Automatically performs power optimization based on TEV_SET_POWER_OPTIONS being non nullicc_route_opt•To enable include “-power” in the TEV_ROUTE_OPT_OPTIONS variable© 2006 Synopsys, Inc. (18)•In each of these tasks the TEV variable TEV_SET_POWER_OPTIONS isused to pass command options to the ICC set_power_options commandicc_place_opt TEV_SET_POWER_OPTIONS = “-leakage true –dynamic true” icc_clock_opt TEV_SET_POWER_OPTIONS = “-leakage true –dynamic true”icc_route_opt TEV_SET_POWER_OPTIONS = “-leakage true”•It is possible to perform different power optimizations in each task bysetting TEV variables on a task by task basisLow Power FlowClock GatingMulti-Vt LibrariesStatic/Dynamic OptimizationStatic/Dynamic Power Analysis© 2006 Synopsys, Inc. (19)Power Analysis•PrimeTime-PX is the recommended power analysis tool. Foraccurate power numbers, you must use PrimeTime-PX.•Power analysis is also performed in ICC and DCT (if poweroptimization is enabled).It is recommended that you only use these numbers as a relativeindication of power consumption. Here are the DCT and ICC power © 2006 Synopsys, Inc. (20)analysis reports that are generated.•blocks/<block>/syn/rpts/020_syn/dc.report_power•blocks/<block>/pnr/rpts/$GEV_DST/icc.report_power (where $GEV_DST is any icc task destination)•Use PrimeTime-PX for absolute power analysis numbersPrimeTime-PX Power Analysis• • • •TEV_POWER_ANALYSIS is set to 1 so power analysis is always enabled by default during STA runs PrimeTime-PX is run as part of the static timing analysis that happens at the end of each step. The static timing flow is run from its own makefile, blocks/<block>/scripts_block/sta/fl ow_sta.gmake The makefile contains 2 targets (one to generate scenarios and one to run the scenarios) for each step which are run at the end of each step© 2006 Synopsys, Inc. (21)Predictable Successexecute_scenarios.tcl• Power analysis is always enabled by default becauseTEV_POWER_ANALYSIS is set to 1 in flow_sta.gmake• The default switching format is VECTORFREE as specified byTEV_SWITCHING_FORMAT. Other options are TCL, SAIF and VCD. Note that you could still load SAIF or VCD formats even if you specify TCL for the switching format. You could do this by putting read_saif or read_vcd commands inside the TCL file pointed to by TEV_SWITCHING_FILE.© 2006 Synopsys, Inc. (22)Predictable SuccessSummary•Pilot supports several low power flow featuresClock Gating Multi-Vt Optimization Static and Dynamic power optimization•Power optimization occurs during PNR step and can optionally be enabled in the SYN step – optimization can occur with our without Multi-Vt cellsDCT Synthesis ICC Place Opt ICC Clock Opt ICC Route Opt•Pilot contains full support for implementation and verification of multi-VDD designs which is covered in the UPF unitCoarse grain MtCmos, level shifters, isolation cells, retention registers Demonstrated on the des_hard_macro_multi_vdd sample design•Power analysis is uses PrimeTime-PX and is run as part of the STA flow at the end of each step© 2006 Synopsys, Inc. (23)Predictable Success• Backup MaterialsInteractive Dynamic Power Gating Analysis© 2006 Synopsys, Inc. (24)Predictable SuccessPower Gating Dynamic IR AnalysisPM Cell PlacementPM Cell Placement• • •Main / controlled P/G routed & regular cells placed Need to decide Number and Location of PM cells Rail analysis needs: What-if analysis to enable quick iteration and decisionPowerPower-up Sequence DesignPowerPower-up Sequence Design• • •PM cells are placed PM cell P/G routed but signal pins not connected Rail analysis needs: Create power-up sequence “template” from design Flexibility in power-up sequence configuration to enable fast explorationFinal Analysis in implementation / signoffFinal Analysis / SignSign-off•Rail analysis needs: Link with IC Compiler for final analysis in implementation (vectorfree flow) Link with PrimeTime for sign-off (VCD flow)© 2006 Synopsys, Inc. (25)Predictable SuccessNumber & Location of PM CellsVDD VDDVVSS© 2006 Synopsys, Inc. (26)Predictable SuccessPower-Up SequenceVDD VDDVVSS© 2006 Synopsys, Inc. (27)Predictable SuccessWhat-if Power-Up SequenceVDD VDDVVSS© 2006 Synopsys, Inc. (28)Predictable Success“What-if” Sequence Analysis (65nM design)Wakeup Time Power-up sequence from PT report Peak rush current: 312mA Wake-up time: 4.9ns Peak voltage drop: 337mV One-by-oneWakeup TimeRow-by-rowRow-by-row turn-on with 0.1 ns interval Peak rush current: 789mA Wake-up time: 1.84ns Peak voltage drop: 642mV© 2006 Synopsys, Inc. (29)Predictable Success。
Introduction ...................................................................................................................................................... E2Training MaterialsTextbooks/Course ComponentsIndustrial Hydraulic Technology ............................................................................................................. E3Hydraulic Maintenance Technology ........................................................................................................ E3Fluid Power Basics................................................................................................................................ E4Hydraulic Component Sizing ................................................................................................................. E4Filtration T echnology .............................................................................................................................. E5Hydraulic Pumps & Controls ................................................................................................................. E5Reference BooksDesign Engineers Handbook ................................................................................................................. E6Design of Electrohydraulic Systems for Industrial Motion Control .......................................................... E6Handbook of Electrohydraulic FormulaeE6An Engineering Analysis of the Pulse Width Modulation ........................................................................ E7Lexicon III ............................................................................................................................................. E7Computer SoftwareVCCM Design Environment ................................................................................................................... E8Video TrainingIndustrial Hydraulic Technology ............................................................................................................. E9Trainer StandsPortable Hydraulic Trainer .................................................................................................................... E10Lab Manuals........................................................................................................................................ E10Training ProgramsIndustrial Hydraulic Technology (IHT) .......................................................................................................... E11Hydraulic Component Sizing (HCS) ............................................................................................................. E11Hydraulic Pumps & Controls (HPC) ............................................................................................................. E12Hydraulic Maintenance Technology (HMT) ................................................................................................... E12Introduction to Electrohydraulics (EHD)....................................................................................................... E13Electrohydraulic Feedback Systems (EFS)................................................................................................. E13Cartridge Valve Systems (CVS) .................................................................................................................. E14Mobile Hydraulic T echnology (MHT) ............................................................................................................ E14ContentsIntroductionW elcome to Parker’s Involvement Training ProgramThe Training Department at Parker Hannifin was established in the early 1970’s and is recognized today as the industry leader in the development and presentation of training materials and programs. The Department’s charter states that the primary focus of activity shall include all phases of technical training for hydraulic and pneumatic industries. The charter also states that this would be non-commercial and involve state-of-the-art methodology.The Parker approach is one of involvement training. In its full scope, involvement training is one of active participation. This participation results in excellent student retention as well as providing a comfortable way of learning.Parker Catalog 0200 details the Training Department’s current offerings. This catalog is presented in two parts: Training Materials and Training Programs.Training MaterialsThe training materials section contains the following mixed media components:T extbooks/Course ComponentsReference BooksComputer SoftwareVideo T apesTrainer StandsMiscellaneousParker offers seven textbook and course combinations designed for both industrial and educational applications. T opics range from Basic Fluid Power to the specifics of Hydraulic and Pneumatic T echnology.All materials needed for a completeclassroom curriculum are available.T extbooks can be purchasedseparately or in combination with anynumber of additional coursecomponents including workbooks,instructor guide, multiple choiceexams, answer book, coursecertificates and, where appropriate,acetate transparencies and relevantreference books.Parker currently has six referencebooks available. Led by the DesignEngineer’s Handbook, all of thebooks are valuable tools for anyDesign Reference Library, whetherfor individual use or as anaccompaniment to the courses.Additionally, course subject mattercan be further enhanced with relatedcomputer software, video tapes andtrainer stands.Parker’s computer-aided softwarerepresents a strong commitment toadvanced training technology. TheBasic Pneumatic Training CD,featuring animation and video, is theleading pneumatic software in theindustrial market place.The video tape library contains fourcomplete modules for self-pacedone-on-one or group learningactivities. Both hydraulic andpneumatic training programs areavailable.Parker’s portable and full sizehydraulic, pneumatic, and pick-n-place trainer stands provide studentswith valuable hands-on experience.All training stands feature industrialgrade components and provide “RealWorld” applications of principles andcircuitry.Training ProgramsIn addition to training materials,Parker offers an on-going scheduleof classroom educational programs.The current list of classes includesten 3-5 day programs. Each class isled by a Parker certified instructor(s).Students are provided all necessarymaterials to attain course certification.Classes are held in strategiclocations across North America.See Catalog 0200 for a complete listof scheduled class locations.Course fees cover all class roomexpenses. Meals, transportationand lodging are not included.However, Parker will be glad to assistyou with lodging arrangements.Education and training arecontinuous processes. Parker’sInvolvement Training Program hasdoubled its offerings in the last threeyears alone. For the latestinformation on Training Materials orPrograms, please contact:Parker Hannifin CorporationTraining Department6035 Parkland Blvd.Cleveland, OH 44124-4141T el:(216) 896-2577Fax:(216) 514-6738E-mail:*****************.comThe following section gives abrief overview of the trainingmaterials and classes with ahydraulic or electrohydraulicemphasis.INDUSTRIAL HYDRAULIC TECHNOLOGY Industrial Hydraulic Technology2nd Edition, Bulletin 0232-B1ISBN 1-55769-025-1The Industrial Hydraulic Technology textbook is designed to introduce a student to hydraulics as it relates to industrial machinery. The 330-page text is organized into fifteen chapters which include:The Physical World of a MachineHydraulic Transmission of Force and Energy Petroleum Base Hydraulic Fluid Fire Resistant Hydraulic FluidOperation at the Suction Side of a Pump Hydraulic ActuatorsControl of Hydraulic EnergyCheck Valves, Accumulators and Cylinders Flow Control ValvesDirectional Control Valves Pressure Control ValvesPilot Operated Pressure Control Valves Hydraulic Pumps Hydraulic MotorsReservoirs, Coolers and Filters• Circuit illustrations are in six-color to aid the student in visualizing what is happening in a circuit.• Each chapter incorporates an exercise reviewing the lesson’s main points.HYDRAULIC MAINTENANCE TECHNOLOGYHydraulic Maintenance TechnologyBulletin 0240-B1ISBN 1-55769-019-7The Hydraulic Maintenance Technology textbook provides detailed maintenance and troubleshooting information for the user of industrial hydraulicequipment. The 148-page text contains ten chapters which include:Hydraulic Maintenance Introduction Hydraulic Graphic Symbology Power Unit Maintenance Pump MaintenancePressure Control Valve Maintenance Directional Control Valve MaintenanceFlow Control Valve and Check Valve Maintenance Cylinders, Motors and Accumulator Maintenance Leakage Elimination in Hydraulic Systems Fluids and Filter Maintenance• Contains troubleshooting charts with lists of common problems, causes and possible remedies.• This text is also a valuable reference for designers of industrial hydraulic equipmentHYDRAULIC COMPONENT SIZINGHydraulic Component SizingBulletin 0243-B1ISBN 1-55769-036-1The Hydraulic Component Sizing textbook provides an in-depth practical study of pumps, flow valves, pressure valves, directional valves, hydraulic motors, cylinders and accumulators and how total system performance is related to individual component characteristics. Filtration and overall heat generation is covered. The 244-page text is organized into eleven chapters which include:The Hydraulic System Cylinders and Motor The Electric MotorSources of Power - Pumps and Accumulators Check Valves and Directional Control Valves Unloading and Pressure Reducing Valves Reliefs, Counter Balances and Brake Valves Flow ControlsConditioning Systems - Reservoirs and Heat Exchangers Conditioning (Filters)Fluid Conductors• The text also includes a section of 17 assignments in the back of the book.FLUID POWER BASICSFluid Power BasicsBulletin 0239-B1ISBN 1-55769-029-4The Fluid Power Basics textbook is designed tointroduce students to hydraulics and pneumatics as it relates to industrial machinery. The 174-page text is organized into fifteen chapters which include:The Physical World of a Machine Force Transmission Through a FluidEnergy Transmission Using a Hydraulic System Control of Hydraulic EnergyEnergy Transmission Using a Pneumatic System Control of Pneumatic EnergyHydraulic Pumps and Compressors Check Valves, Cylinders and Motors Flow Control ValvesDirectional Control ValvesSimple Pressure Control ValvesPilot Operated Pressure Control Valves Hydraulic Fluid Conditioning Air PreparationFluid Conductors and Connectors• Each chapter incorporates an exercise reviewing the lesson’s main points.FILTRATION TECHNOLOGY Filtration TechnologyBulletin 0247-B1 (Softcover)ISBN 1-55769-037-5Bulletin 0250-B1 (Hardcover, Not Shown)ISBN 1-55769-033-2Filtration Technology is a must as a fundamental introduction to industrial filtration. The text covers topics such as fluids, contaminants, media selection and more. It is helpful to all personnel concerned with OSHA, safety and quality issues. This over 250-page text is organized into fourteen chapters which include:Introduction to Industrial Filtration Technology Fluids and Contaminants Contamination Dynamics Fluid and Filter AnalysisMultipass Filter and Media Selection Lubricant and Hydraulic FluidSpecifying Hydraulic and Lubricating Fluid Filters Water Absorption in Hydraulic and Lubricating Oils Filter and Media Selection for Single-pass Systems Fuel Filter/Water Separators Process Filtration SystemsCompressed Air and Gas Filtration Coolant FiltrationInstallation, Operation and MaintenanceHYDRAULIC PUMPS & CONTROLSHydraulic Pumps & ControlsBulletin 0238-B1ISBN 1-55769-031-6Hydraulic Pumps and Controls is a comprehensive text covering relevant pump topics from basic pump construction and operation to multiple controls,horsepower control and electronic pump controls. The book also contains sections on filtration andtroubleshooting. This 185-page, multi-colored text is organized into nine chapters which include:Pressure CompensationLoad Sensing Theory of Operation Input Power and Inlet Conditions Electrohydraulic Pump Control TroubleshootingRemote CompensationHorsepower (Torque) Limiting Control Hydraulic Filtration Energy ConservationDesign Engineers HandbookBulletin 0224-B1ISBN 1-55769-018-9Design of Electrohydraulic Systems for Industrial Motion Control, 2nd EditionBulletin 0246-B1ISBN 1-55769-032-4A hardcover 400-page text that covers all technical areas relative to motion control utilizing electrohydraulics.Technicians and designers, as well as mechanical,electrical and control engineers will find the text to be a useful tool. The text is also valuable for professors and students of motion control technology, because it provides the most current information available on this technology from a recognized expert.To satisfy the demand for a simple and practical treatment of hydraulics and pneumatics, including components and system connectors, Parker Hannifin Corporation has published a one volume, 320-page text entitled Design Engineers Handbook . The information contained in this text is organized to assist themachine designer and manufacturer, as well as service and maintenance personnel. It should prove to be equally valuable to the college and vocational school student preparing to enter any of these fields.• Each section includes design data, reference material, charts and diagrams.Handbook of Electrohydraulic Formulae, 2nd EditionBulletin 0242-B1ISBN 1-55769-034-0This handbook, written for technicians, engineers and designers, contains 25 chapters of commonly used formulas for the design of electrohydraulic motion control systems. All of the necessary information is centralized, making the design of electrohydraulic motion control systems easier. There is no other text available that offers this accessibility or breadth and depth of information.Lexicon IIIBulletin 0245An Engineering Analysis of the Pulse Width ModulationBulletin 0244This research report contains over 100 pages of detailed engineering information and data regarding the design and evaluation of the pulse width modulation (PWM)method of controlling hydraulic pump outlet pressure.PWM offers a very efficient way for making regulated pressure power units using fixed displacement pumps instead of the more expensive, conventional pressure compensated pumps.The report contains scores of graphical responses,representing hundreds of hours of labs and dataanalysis time. Concise Conclusions sections help the reader to quickly summarize the results and apply them immediately. A complete section is dedicated to Design Methodology so that users can learn the details needed to properly design and construct the power units.Also included is a background on motion control and constant pressure. In addition, authors discuss equipment and principles of operation as well as the method of investigation used.An Engineering Analysis of the Pulse Width Modulation is a must for anyone who uses, specifies, designs or builds hydraulic power units!The Lexicon III is a detailed bulletin of electrohydraulic terms and analogies. The book is laid out into two easy-to-use sections – a glossary of terms and a section on understanding electrohydraulic analogies. Many of the areas are represented by graphs and diagrams to further identify in detail the terms and analogies of electrohydraulics.The author conveniently includes a chart of the SI prefixes, the Handy Conversions Factors T able and a listing of the Greek Letters. This bulletin is a must-have for engineers, students and anyone interested in electrohydraulics.Training MaterialsComputer SoftwareVCCM Design EnvironmentBulletin 0298-P1The manual design of proportional and servo controlled systems requires many hours of computational work as well as much trial and error. Now, with the easy-to-use VCCM software, your can design state-of-the-art motion control systems at the cutting edge of technology!Simply tell VCCM the requirements of your job, and it will tell you the components you need for your design.All data passes through several menu driven screens which may carry explanations that are written in electrohydraulic engineering terms. VCCM does the number crunching for you, leaving you free toconcentrate on the more subjective and critical aspects of the design application. Anyone who has to size and select servo and/or proportional valves will benefit from the VCCM program.Requirements:• 386 CPU with DOS• 2 MB of Space on Hard Drive • SVGA monitor • Windows optionalIndustrial Hydraulic TechnologyBulletin 0299-T1The Industrial Hydraulic Technology course material is available utilizing an audiovisual tape training method.With all the training information stored on cassettetapes, the training sessions can be repeated as often as necessary, allowing each student to acquire the technical knowledge at his or her own pace.The various tapes focus on enabling the user to interpret and read schematics, obtain a working knowledge of components that make up hydraulic systems andadvance to trouble shooting techniques. (Refer to page 4 to see specific chapters covered).• Video tapes are available in Beta, VHS or PAL.• Individual chapters are also available.Includes:14 Video T apes 1 T extbook1 Instructor’s GuideTraining MaterialsVideo TrainingBulletin 0249EHD SupplementBulletin 0231Also available in Spanish!Bulletin 0229-B9 In order to aid the student in understanding hydrauliccomponents and systems operation, Parker hasdeveloped this comprehensive lab manual for the ModelHTV-00 Portable Hydraulic Trainer Stand. This manualcontains circuit problems and demonstrations designedfor use with the Parker trainer. These exercises areintended to supplement text material covered in theclassroom. References are made in this manual toParker textbook, Industrial Hydraulic T echnology (pageL3).Contains exercises using theElectrohydraulic Option Kit(P/N 875279) on the ParkerPortable Hydraulic TrainerStand.Portable Hydraulic TrainerBased on Parker’s long term experience indesigning, manufacturing and servicing fluidpowercomponents worldwide, the Portable HydraulicTrainer is designed to be a tool for learning hydraulictechnology principles and circuitry. It has beenengineered for ruggedness, portability and ease ofoperation. The unit is completely self-containedand operates on standard 115 Volt AC single phaseoutlet electrical power.The components on the trainer are all industrialgrade components used in industry every day. This“real world” approach allows the student to learnwhat those components look like as well as howthey operate.All necessary connections are made with hosesand quick disconnects. No tools are required toarrange circuits. Simply plug in the componentsneeded to arrange a circuit. In addition, all thehoses are stored are stored in a rack to avoidmisplacing “loose” components.For detailed information, request Bulletin 0203Training MaterialsTrainer Stands and Lab ManualsAlso available with the following options:• Electrohydraulic option provides an introduction toboth open loop and closed loop electrohydraulicsystems.• Pneumatic option transforms the hydraulic trainerinto a complete fluidpower training stand.。
[经典]红警2中的语音翻译前言:红色警戒是我第一款接触的电脑游戏,当年玩红警98杀得天昏地暗的情况还历历在目,小时候听不懂语音,现在大部分是可以听得懂了,不过下面仍然是转载的。
⊙﹏⊙,出处已经不明。
这款神作的语音也非常经典!=================================================== ===========================================******** ****系统语音*************Building 建造Training 训练Reparing 修理On hold 搁置Cancel 取消Unit ready 单位就绪Unit lost 单位损失Unit promoted 单位升级Unit repaired 单位修理好Insufficient funds 资金不足Low power 电量低Construction complete 建造完成New constraction options 新建造选项Can not deploy here 不能在这部署Primary building selected 主要建筑已选择Our base is under attack 我们的基地正被攻击Our ally is under attack 我们的盟友正被攻击Ore miner is under attack 矿车正被攻击Structure garrisoned 建筑物驻军Structure abandoned 遗弃建筑物Oil refinery captured,Acquiring additional resources 占领石油精练厂,获得额外资源Machine shop captured,All vehicles will now auto repair 占领机器商店,所有车辆自动修理Hospital captured,All infantry units will now auto heal 占领医院,步兵自动健康Secret lab captured,New technology available 占领秘密实验室,可获得新技术Building infiltrated,New technology acquire 渗入建筑(战车工厂/兵营),获得新科技Building infiltrated,Enemy base power down 渗入建筑(电厂),敌人基地停电Building infiltrated,Cash stolen 渗入建筑(矿厂),偷到金钱Building infiltrated,radar sabotaged 渗入建筑(雷达),雷达被破坏Player defeated 玩家被击败You are victorious 你是胜利者You have lost 你失败了Battle control terminated 战斗控制终止Establishing battle field control, stand by 建立战场控制,待命Mission accomplished 任务完成Mission failed 任务失败reinforcements ready 增援部队(伞兵)就绪Iron curtain ready! 铁幕就绪Iron curtain activated! 铁幕已启动Chrono sphere ready! 超时空传送就绪Chrono sphere activated! 超时空传送已启动Warning,Nuclear silo detected! 警告,侦测到核弹发射井Warning,Nuclear missile launched! 警告,核弹已发射Warning,Weather control device detected! 警告,侦测到天气控制器Warning,Lightning storm created! 警告,闪电风暴已产生Select target 选择目标*****************盟军单位********************美国大兵回应Sir yes sir! 长官,是的长官!Ready! 准备好了!Squared away sir! 搞定,长官!Orders? 命令?How `bout some action? 来一些行动,怎么样? Can do! 能做!Who's next? 下一个是谁?移动Move'n out! 出动!Got it! 明白!On my way! 正在路上!Double time! 双倍!On the move! 在移动中!攻击Attacking! 正在攻击!You got it! 你说对了!Enemy sighted! 敌人已看见!Let's do it! 让我们行动!Diggin' in! 突人!Safety first, sir! 安全第一,长官!受伤We're pinned down! 我们被压制了!We're being attacked! 我们正在被攻击!*盟军工程师回应Engineering 工程中I have the tools 我有工具I've got the knowledge 我有知识Need a repair? 需要修理吗?移动Yes sir! 是,长官!Moving 移动中I won't be late 我不会迟到的攻击Analyzing schematics 分析图表中Studying blue prints 正在学习蓝图Got the plans right here 恰好在这里得到了计划受伤Get me outa here!让我离开这!I'm unarmed!我是徒手的!*火箭飞行兵回应Rockets in the sky 火箭兵在空中All Fired up! 全部点火!Check out the view 检查视野I can go anywhere! 我能去任何地方!Gotta clear view, sir 必须视野广阔,长官Ready to soar 准备滑翔Fuel tanks are filled 燃料罐是充满的移动Pushin' away 推进Igniting boosters 推进器点火Riding High 高空乘骑Up and over 从上面越过Got a steady flow 气流稳定I'll take the high road 我会选择捷径Lifting off 停止上升攻击He's got no place to hide 他没地方隐蔽I can see 'em 我能看见他们I got 'em Clear out the place 我把他们清除出这区域They won't see us comin 他们不会看见我们来了受伤I'm losing compression! 我的压缩机正在受损!There's too much flak! 那儿的防空火力太猛!My rocket's hit! 我的推进器被打中了!*间谍Commander? 指挥官?Mission sir? 任务,长官?Gimme a plan 给我一个计划Agent ready 间谍就绪移动For King and Country 为总统和国家Indeed 当然Under cover 隐藏中攻击Operation underway 实施行动Disguise ready 伪装好了Ready to infiltrate 准备好了渗透Obtaining intelligence 获取谍报中受伤They found me out! 他们发现了我!I've been spotted! 我被揭穿了!*海豹部队回应SEAL ready 海豹就绪I'm your man 我是你的人A lil' C4 knockin' at your door 一个小C4炸弹在敲你Who's your daddy? 你父亲是谁?移动Cover me 掩护我How about a swim? 游泳怎么样?Alright, the water's warm! 好的,水挺温暖!攻击Enemy in my sites! 敌人在我的地盘上!I got `em 我干掉了他们This is too easy 这是太容易啦Special Delivery! 特快专递!Goin' down! 下沉吧!Let's get it on! 让我们搞吧!*阻击手回应Sniper ready 狙击手就绪Give me a target 给我一个目标Gimme a job 给我一个工作Eliminate 'em 清除他们移动Proceeding to vantage point 跑到有利地势去Just gimme a clear view 只要给我一个广阔的视野I love to camp 我喜欢露营Just get me close enough 只要让我足够靠近Settling in 接近中攻击Takin' 'em out 拿下他们He's in my scope 他在我的射程内He's a dead man 他是个死人了受伤Run for cover! 为掩护而撤!I need support! 我需要支援!I'm in too close! 我靠的太近了!*超时空军团回应Yes, Commander 是的,指挥官Already there 已经在那里I'm gone 我去了Pick a spot 挑一个地点Without a trace 没有踪迹攻击They're history 他们成为历史Deconstructing Never existed 分解中决不存在Removing 消除中受伤I don't have time for this! 我没时间干这个! Let's get outa here! 让我们离开这!*潭雅回应Anytime boss 任何时候,老板You got an order? 你得到了命令?Where's the party? 哪有聚会?Show me the way 告诉我方向How 'bout some action 来一些行动,如何?移动I'm there 我在那里How about a target?! 找个目标怎样?!Moving out boss 出发,老板I'm on it 我这就去Shake it baby! 快点!攻击Cha-Ching! 爽啊!Locked and loaded 锁定填弹完毕Yaah Baby! 耶宝贝儿!*灰熊坦克回应Destination Commander? 目的地?指挥官Ready to roll! 准备开动!Yes sir 是,长官Unit reporting! 单位报到! Vehicle ready 车辆就绪移动Bound forward! 前进! Outstanding! 起程!On our way,sir 在我们的路上,长官High speed,low drag 高速度,低拖延Good to go! 准备走!Securing Position! 确定位置!攻击Fire zone confirmed 开火区域确认Driver up! 传动器开动!Closing in! 接近中! Commencing assault! 开始袭击! Weapon ready 武器准备好了*入侵者战机回应Pilot reporting 飞行员报告Destination? 目的地?Channel clear 频道清晰Aircraft reporting 飞机报告移动Like the wind 象风一般Changing vector 改变航线Thrusters engaged 推进器开动了Willco 再联系攻击Instruments locked on 器械已锁定We're goin' in.我们正在过去We have'm on radar 我们在雷达上Watch my six 看我的6Ready to strike! 准备攻击!归西We're going down 我们正在坠落Bail out! 跳伞!Eject! Eject! 弹出!弹出!*黑鹰战机回应Korea's finest 韩国的最好Eagle squadron 鹰中队Black Eagle reporting 黑鹰报告At your service 为你服务Standing by 待命中移动Calibrating airspeed 测定气流速度In transit 正在经过Command received 命令收到攻击Easy target! 简单的目标!Gunner in position 炮手就位归西Let's take'm out 让我们出去*夜鹰直升机回应What's your request? 你的要求是什么? Pick'm up,set'm down 把他们运上运下Air transport ready 空运就绪Need a lift? 需要空运吗?移动Visibility clear 能见度高Tour underway 旅行中Gotcha 明白Maneuvers in progress 进行调动攻击LZ is hot 着陆区令人兴奋Clearing a path 打扫道路Fire on sight 看到就开火*航空母舰回应Standing by 待命中Captain on the bridge 舰长在舰桥上Navigation systems ready 航行系统就绪Allied Ship reporting 盟军舰船报告移动Steady as she goes 象她行动一样稳定Aye commander 是,指挥官Rudder set for new heading 转舵向新航向Main engines engaged 主引擎开动Speed to full 全速攻击Battle-stations! 进入战斗岗位!Attacking 攻击中Enemy spotted 发现敌人******************苏军单位*********************动员兵回应Waiting orders 等待命令中Comrad? 同志?Conscript reporting 动员兵报告移动Moving out 行动中Order received 命令收到For the Union 为了(苏维埃)联盟攻击For home country 为了祖国You are sure? 你肯定?For mother Russia! 为了母亲俄罗斯!受伤Mommy! 妈妈呀!We're being attacked! 我们正被攻击!*苏军工程师回应Tools ready 工具准备好I have the information 我知道信息Something need fixing? 有些东西需要修理?I know how it works 我知道它怎么工作移动Yes Commander! 是的,指挥官!I will go 我就去攻击Checking designs 检查图纸Examining diagrams 检验图表*磁暴步兵回应Tesla suit ready! 磁暴服装穿好! Extra crispy 非常清脆Charging up 充电中Electrodes ready! 电极就绪! Checking connection 检查连接移动Going to source 去源头Yes comrade 是的,同志Surging forward 蜂拥向前Electrician in the field 实地电工Rubber shoes in motion 橡胶鞋运动攻击2,000 volts coming up 2000伏特来了He's fried 他被油炸了Completing circuit 完成电路中Let the juice flow 让电流动Commencing Shock therapy 开始电击治疗Congratulations! 恭喜!You've been discharged 你可以下岗了受伤Ground yourselves! 阵地是你们自己的! Reinforcements! 增援!I'm hit! 我被打了!*防空兵回应Flak trooper reporting 防空兵报到ready 就绪Orders comrad? 命令?同志At least I have a job 至少我有个工作移动I am going 我正在去Moving out 行动中This gun is heavy 这枪够重攻击Flak_attack 对空攻击This will be messy 这会很麻烦Clouds of death 死亡之云Flak you 射下你受伤Can't see through the flak 看不到高射炮火另一头There shooting me 那正在射击我Help me Romanov 救我,诺曼罗夫(RA2中的苏联总理) I'm just one man 我只是个人而已*恐怖分子回应What are your conditions? 你开的条件是?We must revolt 我们必须反抗Need a smuggler? 需要个走私者吗?移动Vamos Muchachos! 走吧,小伙子们!I go freely 我去自由了攻击Adios amigos! 再见,朋友!Here's a hot papaya! 这有个热木瓜!For the republic! 为了共和国!Traitors must be eliminated! 叛徒必须被清除!受伤Take me back to Havana! 带我回哈瓦那(古巴首都)! I've been discovered! 我被发现了!*疯狂伊文攻击Happy Birthday! 生日快乐!Here, hold this 这里,拿上这个I lost a bomb 我丢了一个炸弹Do you have it? 你有它吗?Don't play with matches 别玩火柴移动I'm goin' 我正在去What's over here? 这里有什么?回应K-BOOM! 嘣!What's that? 那是什么?Ivan's not home! 伊文不在家!It's too quiet here 这里是太安静了*辐射工兵回应Desolator ready 辐射炮准备就绪Ready for melt-down 准备好去溶化Reactor ready 反应堆就绪Mercury rising 水银上升移动Find a hot spot 找一个热闹场所Scorched Earth 土地已烧焦Spread the Doom 散布毁灭There goes the neighborhood 那靠近这里It'll be a Silent Spring 这会成为寂静的春天攻击Tagged for extinction 指控消灭Make it glow 让它发光Let's heat `em up 让我们加热他们Here comes the sun 太阳来这里The end is near 末日临近Let's make an oasis of death 让我们造个死亡绿洲*尤里回应Psychic ready 心灵控制准备就绪I know your thoughts 我知道你的想法Tell me your wish 告诉我你的愿望Your mind is clear 你的头脑很清晰The mind is quicker than the eye 心比眼快移动Yes,of course 是的,当然Predictable 可以预料Thoughts received 想法已收到My command is your wish 我的命令就是你的愿望攻击He belongs to us 他属于我们His mind is weak 他的精神很弱There is no resistance 这没有反抗A new comrade joins us 一个新同志加入我们Look deeply into my eyes 深深地看着我的眼睛*犀牛坦克回应Awaiting orders 等候命令Ready comrade 准备好了,同志Vehicle reporting 车辆报到移动Changing position 改变位置中Moving 移动中Location confirmed 地点已确认攻击Attacking! 攻击!We will bury them 我们会埋葬他们Encounting enemy 结束敌人*自爆卡车回应Why don't you die 为什么你不死I shall avenge us! 我将替我们报仇!Let's make a delivery! 让我们去交货!My truck is loaded! 我的卡车已装载!移动Watch out for the bumps 小心碰撞As you wish 如你所愿One way trip 单程旅行攻击Don't wait up for me 别停下等我It will be a smoking crater.它将成为冒烟的弹坑I am prepared to die! 我准备好了就义!For my people! 为我的人民!*基洛夫空艇回应Helium mix optimal 氦已最佳混合Airship ready 空艇就绪Acknowledged 收到Kirov reporting 基洛夫报到移动Bearings set 方向设定Maneuver props engaged 机动支持使用中Setting new course 设定新路线攻击Bombardiers to your stations 轰炸者在你的位置Closing on target 接近目标Target acquired 目标已获取Bombing bays ready 轰炸仓就绪受伤Duck and cover! 躲避和掩护归西She's gonna blow! 她将要漏气We're losing altitude! 我们正在失去高度! Mayday! Mayday! 求救!求救!*苏军战舰回应Yes Commander? 什么?指挥官Vessel ready 舰船就绪Ship reporting 舰船报告移动Engines engaged 引擎使用中Navigating 航行中Course set 路线设定Captain confirming 船长确认中攻击Close and fire! 接近并开火!Fire at will 随意开火Target sighted 目标已发现。
第45卷 第12期2023年12月系统工程与电子技术SystemsEngineeringandElectronicsVol.45 No.12December2023文章编号:1001 506X(2023)12 3932 09 网址:www.sys ele.com收稿日期:20230325;修回日期:20230426;网络优先出版日期:20230626。
网络优先出版地址:https:∥kns.cnki.net/kcms/detail/11.2422.TN.20230626.1652.002.html基金项目:国家自然科学基金(62173340)资助课题 通讯作者.引用格式:朱立成,孙青,端军红,等.基于机动动作类型识别的飞行员飞行训练质量自动评估方法[J].系统工程与电子技术,2023,45(12):3932 3940.犚犲犳犲狉犲狀犮犲犳狅狉犿犪狋:ZHULC,SUNQ,DUANJH,etal.Automaticevaluationmethodofpilotflighttrainingqualitybasedonmaneuveractiontyperecognition[J].SystemsEngineeringandElectronics,2023,45(12):3932 3940.基于机动动作类型识别的飞行员飞行训练质量自动评估方法朱立成1,孙 青1,端军红1, ,庞 敏2(1.空军工程大学防空反导学院,陕西西安710051;2.北京微电子技术研究所,陕西西安710119) 摘 要:飞行训练质量评估是飞行员日常飞行训练的重要组成部分,针对传统飞行训练质量评估方法评估效率低、主观性强等问题,提出了一种基于机动动作识别的自动评估方法。
首先,通过构建采用多尺度特征提取的深度残差网络(multi scalefeatureextractiondeepresidualnetwork,MSDRN),实现了战术机动动作的准确识别,克服了传统机动动作识别方法识别准确度低的问题。
Catalog HY15-3502/USLogic Elements ContentsParker Hannifin CorporationSERIES CAVITY DESCRIPTION FLOW PRESSURE PAGE NO.LPM/GPM BAR/PSIPOPPET TYPE10SLC1-A.........C10-3S........Normally Closed, Pilot to Close.......................57/15......240/3500......................LE7 16SLC1-A.........C16-3S........Normally Closed, Pilot to Close.....................189/50......240/3500......................LE8 20SLC1-A.........C20-3S........Normally Closed, Pilot to Close.....................303/80......240/3500......................LE916SLC1-C.........C16-3S........Normally Closed, Vent to Open.....................189/50......240/3500....................LE10SPOOL TYPE10SLC2-A.........C10-3S........Normally Closed, Pilot to Close.......................57/15......240/3500....................LE11 16SLC2-A.........C16-3S........Normally Closed, Pilot to Close.....................189/50......240/3500....................LE12 20SLC2-A.........C20-3S........Normally Closed, Pilot to Close.....................303/80......240/3500....................LE13R04E3...............C10-3S........Normally Closed, Pilot to Close.....................170/45......420/6000....................LE14 R06E3...............C16-3S........Normally Closed, Pilot to Close..................400/106......420/6000....................LE15 R08E3...............C20-3S........Normally Closed, Pilot to Close..................500/132......420/6000....................LE1610SLC2-B.........C10-3S........Normally Closed, Vent to Open.......................57/15......240/3500....................LE17 16SLC2-B.........C16-3S........Normally Closed, Vent to Open.....................189/50......240/3500....................LE18 20SLC2-B.........C20-3S........Normally Closed, Vent to Open.....................303/80......240/3500....................LE19R04F3...............C10-3S........Normally Closed, Vent to Open.....................170/45......420/6000....................LE20 R06F3...............C16-3S........Normally Closed, Vent to Open..................400/106......420/6000....................LE21 R08F3...............C20-3S........Normally Closed, Vent to Open..................500/132......420/6000....................LE2210SLC3-A.........C10-3S........Normally Open, Vent to Close.........................57/15......240/3500....................LE23 16SLC3-A.........C16-3S........Normally Open, Vent to Close.......................189/50......240/3500....................LE24R04H3..............C10-3S........Normally Open, Vent to Close.........................57/15......420/6000....................LE25 R06H3..............C16-3S........Normally Open, Vent to Close.......................160/42......420/6000....................LE2610SLC3-B.........C10-3S........Normally Open, Vent to Close.........................57/15......240/3500....................LE27 16SLC3-B.........C16-3S........Normally Open, Vent to Close.......................189/50......240/3500....................LE28R04G3..............C10-3S........Normally Open, Vent to Close.........................57/15......420/6000....................LE29 R06G3..............C16-3S........Normally Open, Vent to Close.......................160/42......420/6000....................LE30LE1Logic ElementsCatalog HY15-3502/USTechnical TipsParker Hannifin CorporationCatalog HY15-3502/USLogic Elements Technical TipsParker Hannifin CorporationLE2LE3Logic ElementsCatalog HY15-3502/USTechnical TipsParker Hannifin CorporationCatalog HY15-3502/USLogic Elements Technical TipsParker Hannifin CorporationLE4LE5Logic ElementsCatalog HY15-3502/USTechnical TipsParker Hannifin CorporationDIRECTIONAL CONTROL EXAMPLESTHREE-WAY BRIDGE CIRCUITS Circuit 1, with **SLC1A poppet logic element.Circuit 2, with **SLC2A/R0*E3spool logic element.Circuit 3, with **SLC2A/R0*E3spool logic element.NOTE: Pilot pressure must exceed load pressure in order for valve to close.FOUR-WAY BRIDGE CIRCUITSCircuit 1, with **SLC1A poppet logic elements.Circuit 2, with **SLC2A/R0*E3 spool logic elements.Required Flow PathPilot Pressure Applied To PA PB 123Available From CircuitNONOXXYESNO X X XRequired Flow PathPilot Pressure Applied To PA PB 123Available From CircuitNOYESXXNO YES X XPilot Pressure Applied To P1P2P3P4P5Required Flow PathPilot Pressure Applied To P1P2P3P4P5Required Flow PathPilot Pressure Applied To P1P2P3P4P5Required Flow PathYES YES YES YES YESNO NO NO NO NOYES YESNO NO NONONO YES YES NOYES YES YES YESNOYESNONOYES YESNO YES YES NO YESYES YES NO YES YESNO YES YES YES YESNO YES NO YES YESYESNOYESNOYESYES YES YES NO YESYES NO YES YES YESP APBBACBAP APB CPB BP A ACABC ABCABC ABCPP5TP1P2A P3BP4P2A P1PP5TP4BP3ABP T ABPTABP T ABPTABP T ABP T ABP T ABP T ABP T ABPTABP T ABP T ABP T NOTE: Pilot pressure must exceed load pressure in order for valve to close.Catalog HY15-3502/USLogic Elements Technical TipsParker Hannifin CorporationLE6。
Pilot Flow TrainingFormal Verification(Pilot 3.1)Predictable SuccessObjectives•In this module we will cover the following:-Formality•How Formal Verification runs in Pilot•Use of Formality global scripts and local constraints © 2006 Synopsys, Inc. (2)•Invoking Formality to debug failing verifications •How to include Formal tasks in the optimize StepsNote•MVRC is not covered in this module but it is covered within the UPF training moduleFormal Verification In Pilot•Analysis Versus Build tasksFormal verification is considered an analysis task and likeSTA, ATPG etc it is contained in a global analysis Makefile •blocks/<block>/scripts_block/formal/flow_formal.xml © 2006 Synopsys, Inc. (3)This means that by default it does not appear in the StepMakefiles accessed via the GUI•If desired it can be added to the Step build Makefiles so that data promotion is dependent upon successful formal verificationFormal Targets•The flow_formal.gmake Makefiledefines 5 targets –one for each default Pilot Step•Each of these targets calls the sameglobal task script and with the© 2006 Synopsys, Inc. (4)exception of the formal_syn target all task script arguments are identicalReference and Implementation•The formal task differs from other Pilot tasks in that it in effect hastwo sourcesThe reference design is specified by GEV_SRC and is read into acontainer named “r”The Implementation design is specified via GEV_DST and is read© 2006 Synopsys, Inc. (5)into a container named “i” In all default targets we verify the Step input data versus the Stepoutput data•GEV_SRC=inputs •GEV_DST=outputs•Reports and logfiles are written to the GEV_DST rpt and logdirectoriesFormal TEV VariablesOptionally specify file of settings to override Pilot defaultsDefault is “”Specify script used to read both impl and ref designsDefault is $GEV(gscript_dir)/formal/read_designs.tcl© 2006 Synopsys, Inc. (6)Specify script to apply constraints to impl or ref designs Default is GEV(bscript_dir)/formal/constraints_formal.tcl Optionally supply list of file types (verilog, netlist, db etc) to assist in reading the REF design during the syn step Default is “”In default flow is only used in formal_syn target Specify number of CPU’s to be used for verification Default is 1 Note this is only supported with GEV_JOB_DIST=lsfFormality Script Calling Treeread_designs.tcl Optional File –no default value TEV_FM_DESIGNSTEV_FM_SETTINGS If step == syn© 2006 Synopsys, Inc. (7)formal.tclFormal.read_with_file_types.tclconstraints_formal.tcl../work/inputs/generated_type_file.tclLocal ScriptGlobal Script TEV_TCL_TYPE_FILE TEV_FM_CONSTRAINTSformal.tcl•This is the main formal verification script that is specified in the Step MakefileReads TEV variablesDetermines location of hdlin_dwrootSets default formality tool variables (see next slide for details)Sets or overrides additional formality variables via optional TEV_FM_SETTINGS file -default is “”Read s all db’s defined in the link_library variable Creates “r” and “i” containers© 2006 Synopsys, Inc. (8)Reads REF and IMP designs via required TEV_FM_DESIGNS -default is $GEV(gscript_dir)/formal/read_designs.tcl •Save session –$GEV(block).readApplies constraints specified via optional TEV_FM_CONSTRAINTS -default is $GEV(bscript_dir)/formal/constraints_formal.tcl Run match•Save session -$GEV(block).matchPerforms verification and generate reports•If verification fails generate fail points report, guidance report and save session -$GEV(block).verifyDefault Formality Settings## Extract from formal.tcl## Black box behavior is controlled by these two variables:## -hdlin_unresolved_modules (default is "error")## -verification_blackbox_match_mode (default is "any")## For more conservative behavior, set verification_blackbox_match_mode to "identity".set synopsys_auto_setup trueif { $TEV_FM_SETTINGS != "" } {tproc_source -file $TEV_FM_SETTINGS}•By default synopsys_auto_setup is enabled (true) –this results in a certain set of options being set as shown below (reported in the log file)© 2006 Synopsys, Inc. (9) If you do not want these options set as shown below you can override the individual setting in the TEV_FM_SETTINGS file***************************** Synopsys Auto Setup Summary ****************************** !!! Synopsys Auto Setup Mode was enabled. !!!!!! Verification results are valid assuming the following setup constraints: !!!### RTL Interpretation Setupset hdlin_ignore_parallel_case falseset hdlin_ignore_full_case falseset hdlin_error_on_mismatch_message falseset hdlin_ignore_embedded_configuration true### Undriven Signal Handling Setupset verification_set_undriven_signals0:X### Test Logic Setupset verification_verify_directly_undriven_output falseFor details see report_dont_verify_points and report_constants### Clock Gating Setupset verification_clock_gate_hold_mode AnyFor further details on Synopsys Auto Setup Mode: Type man synopsys_auto_setup ****************************************************************************************read_designs.tcl•This is the default global script specified by the TEV_READ_DESIGN variable•If in the SYN or DFT Step then process and read any SVF files availableSee following slides for details, if this is not desired then theappropriate section should be commented out of the scriptFor the SYN step then read reference design by sourcing © 2006 Synopsys, Inc. (10)•$GEV(gscript_dir)/formal/formal.read_with_filetypes.tcl •For all other steps read implementation and reference designs by reading Verilog netlist from GEV_SRC and GEV_DST•Read any hierarchical sub modules of the designSee later slides for detailsread_designs.tcl –UPF Handling•If UPF is enabled then the design’s UPF configuration file will be loadedduring verification in the SYN, DFT, and FP stepsReference Design: load_upf –r $GEV(src)/$GEV(block).upf implementation Design: load_upf –i $GEV(dst)/$GEV(block).upf Exceptions to this are•SYN step RTL reference designread the upf configuration file is that specified by the variable TVAR(config,upf_for_rtl)© 2006 Synopsys, Inc. (11)•FP stepRead $GEV(block).pg.v for the implementation design •PNR/FINISH stepRead $GEV(block).pg.v for reference and implementation designs•If UPF is enabled then the read_designs.tcl script will also use atechnology/design specific procedure (tproc_retention_register_setup)The procedure is defined in the top of this script and must be edited for other designs and technologiesThis procedure is used during the SYN verification to remove retention register designs from the implementation designSVF Files•During the Synthesis step SVF files are generated to assist in formal verificationThese are automatically enabled by the default Pilot synthesis scripts If multiple synthesis runs are made (e.g. additional incremental synthesisruns) then additional SVF files will be produced for each task•During Formal Verification the SVF files are readMultiple files are read based upon a sorted ordering of filepaths andfilenames in the work directories of the syn step© 2006 Synopsys, Inc. (12)•The SVF files contain references to any dwsvf directories that are required so the dwsvf directories are not copied as in previous Pilot versionsIn the default pilot flow this means that the 010, 020 ordering will be followed when reading SVF filesNote that if you are running SYN design explorations in a single directory this may cause verification issues as the multiple files are read•Once you have selected the appropriate flow you should remove the additional (exploratory) SVF files to enable the formal task to complete successfully by just reading the SVF files relevant to your chosen implementationformal.read_with_file_types.tcl•This file is sourced when reading the reference RTLfor verification during the SYN stepSources the file specified by TEV_TCL_TYPE_FILE•Default value is “”•This is set to ../work/inputs/generated_type_file.tcl for the © 2006 Synopsys, Inc. (13)SYN step Makefiles –this is the default file created by the manifest parser during the synthesis setup taskReads the various file types established by sourcing the generated_type_file.tcl script•This works in an similar fashion to the default Pilotelaborate script –it allows a mixture of Verilog, System-Verilog, VHDL, netlists, ddc and db filesHierarchical Designs –SpecifyingSub Blocks•Sub blocks of a design must be specified in the Block Integration section of the GUI (HM) Hard Macros –separately built IP blocks instantiated in design(LM) Logic Macros –bottom up synthesized (and dft inserted) blocks included in top level(SM) Soft Macros –physical sub blocks created during floorplanning•These sub blocks must be identified correctly for hierarchical formal verification to workThey are specified as a list of {block_name instance_name} pairs© 2006 Synopsys, Inc. (14)Hierarchical Verification•Formal verification can be sped up by the use of blackbox models for sub blocks of a design that havealready been verified•The Pilot flow makes use of the hdlin_interface_only© 2006 Synopsys, Inc. (15)setting for any sub blocks used in the designThis is a change from earlier versions of Pilot which explicitlyread “ports only” Verilog modules and used set_black_box commands•Which blocks the parameter is applied to dependsupon the step being executed and the type of the block as defined in the integration section of the GUIReading sub blocks•Hard Macros, Soft Macros, and Logic Macros are handled differently depending upon the Step being ranSYN Step•For both reference and implementation set hdlin_interface_only on all Hard Macro and Logic Macro blocksDFT Step•Same as SYN step © 2006 Synopsys, Inc. (16)FP Step•Entering the FP step we will only have Hard Macros present in a design since logic macros will have been merged into the top level design•For both reference and implementation set hdlin_interface_only on all Hard MacrosPNR/FINISH Steps•During PNR/FINISH we can have Soft Macros generated during the FP step in addition to any Hard Macros•For both reference and implementation set hdlin_interface_only on Hard Macros and Soft MacrosPassing Verification•For a passing verification no additional reports areproduced•The formality log file is included in the task log file© 2006 Synopsys, Inc. (17)Failing Verification•For a failing verification additional files are created to assist indebugging the failureFSS file (save session output) Unmatched points report Failing Points Report © 2006 Synopsys, Inc. (18)Guidance Report•To debug a failing verification you should use the view.fm targetFor example%unix> cd syn/tmp%unix> gmake –f ../../scripts_block/syn/<step_flow_makefile>.gmake view_fm %formality> restore_session../work/outputs/<blockname>.fssFormal Tasks in the GUI•The default Optimize flowsdo not include a formalverification taskExample on left is syn Step•If desired the formal taskcan be included in any of the © 2006 Synopsys, Inc. (19)optimize flow MakefilesIn this way a dependencyupon successful formal verification can be added to the optimize promote targetFormal Tasks in the GUI•In the example we have added a formal task, named syn_formal, to the default syn step •Point to remember:Dependencies between tasks are always specified by the connections in the GUIThe connections also define the default values of GEV(src) and GEV(dst)GEV(src)Data Source© 2006 Synopsys, Inc. (20)•We do not want to run formal until syn task is complete –but also we do not want to read GEV(src) data from the syn taskThe formal task is one of those “special” cases where GEV(src) and GEV(dst) are not the same as the dependenciesHence you must edit the GEV(src) field in the Automation Tab of the formal task to specify the realsource of the input data –which is usually the inputs directory for the formal taskTaskDependenciesFormal Verification Summary •Formal verification is ran as a separate analysis task for each stepIt can be added to the optimize Step optimize targets through the GUI if desired•Pilot provides a default set of scripts that will handle the default © 2006 Synopsys, Inc. (21)flow inside of PilotUsers can customize the scripts to their needsFormal constraints may need to be modified on a per block basis Reading of designs may need to be modified if “non standard” flows are used.•The view_fm target is useful in debugging a failing verification•Last Slide© 2006 Synopsys, Inc. (22)。
Pilot Flow TrainingLow PowerPilot 3.1Predictable SuccessLow Power Flow•Low power is not a single point solution, rather it is theapplication of several methodologies throughout aflow -all aimed at decreasing power•Low Power is supported throughout the Pilot Flow with© 2006 Synopsys, Inc. (2)the following featuresClock GatingMulti-Vt Library supportStatic/Dynamic Power OptimizationUPF MVDD Implementation –see UPF unit for details Static/Dynamic Power AnalysisFill Cell, Fill Metal (ICC, Hercules)Final Extraction (Star RCXT)DRC/LVS Virtual Flat Planning MVDD Regions Power MeshCreation/Synthesi sPrototype Route RTL Synthesis Clock Gating DC, DCT GateOptimization (Design Scan Insertion ScanCompression JTAG Integration GateOptimization (Design Placement Optimization HFNS, Clock Optimization RouteOptimization RTLGDSIISynthesisDesign ForTest Design Planning P&ROptimization Chip FinishingSteps Tasks© 2006 Synopsys, Inc. (3)(Hercules)and IPOPin Assignment Budgeting (ICC)Compiler)Compiler)Global Analysis TasksSTA, Formal, ATPG, Power, Rail, EM/IRPower Closure SI Closure (IC Compiler)Metrics Capture & AnalysisGlobal TasksLow Power FlowClock GatingMulti-Vt LibrariesStatic/Dynamic OptimizationStatic/Dynamic Power Analysis© 2006 Synopsys, Inc. (4)Clock gating•Clock-gating insertion is a 2-step processperformed as part of the syn task in the SYN step The syn task usesTEV_PRE_COMPILE_SCRIPT to specify a design specific script to load before compiling the design•TEV_PRE_COMPILE_SCRIPT points to a local scriptblocks/<block>/scripts_block/syn/pr e_compile.tcl•The set_clock_gating_style command is © 2006 Synopsys, Inc. (5)part of the script. This command allows you to specify your clock-gating requirementsIn addition to the clock-gating specification, TEV_SYN_CMD must include “-gate_clock”•TEV_SYN_CMD is used to specify the compile command and options you want to use for your block•The default value of TEV_SYN_CMD is $tev_syn_cmd_default is compile_ultra –gate_clock –scan (for DCT)•To disable clock-gating, setTEV_SYN_CMD to not include “-gate_clock”Clock gating TVAR Settings•The default clock gating specification used in pre_compile.tcl makes use of two TVAR variables to define ICG (Integrated Clock Gating) cellsTVAR(lib,icg_scan_cellname) TVAR(lib,icg_noscan_cellname)•These are used as the –positive_edge_logic argument in the set_clock_gating_style command© 2006 Synopsys, Inc. (6)Which one is used depends upon whether scan is enabled for this designLow Power FlowClock GatingMulti-Vt LibrariesStatic/Dynamic OptimizationStatic/Dynamic Power Analysis© 2006 Synopsys, Inc. (7)Multi-VT Libraries•Pilot has variables which are used to set the libraries used for Multi-Vtoptimization throughout the flowThere is one variable used to specify the standard cell target libraries to be used•TVAR(config,target_libs)The link library list variable should always contain the target library settings plus any non-target libraries such as IO or RAM•TVAR(config,link_libs)© 2006 Synopsys, Inc. (8)Pilot Default Multi-Vt Flow•The Flow is configured to perform Multiple Vt optimization bydefault.If you are running DCT during the SYN step, leakage power optimization willhappen in the “syn” taskDuring the PNR step, Multi-Vt optimization will begin with theicc_place_opt task and continue through all other tasks© 2006 Synopsys, Inc. (9)•Variation on this flow can be effected by simply changing theappropriate variablesFor a single Vt flow simply specify a single Vt library in your targetlibrary variable TVAR(config,target_libs)Multi Vt Filler Cells•Filler cell insertion for Multi-Vt designs is technology dependentCan support both fill and decap-fill cells•To handle this a technology specific script is supplied with Pilot toperform multi-vt fill cell insertion in ICCscripts_techlib/<technology>/finish/icc_insert_filler_cells.tcl © 2006 Synopsys, Inc. (10)This is called out in the finish flow, it will need to be edited for newor updated technologies not supported in an initial Pilot release.It has some TEV parameters to control it as shown on next page This can perform a mixture of rules based and heuristic based fillercell insertion as requiredicc_insert_filler_cells.tcl© 2006 Synopsys, Inc. (11)Low Power FlowClock GatingMulti-Vt LibrariesStatic/Dynamic OptimizationStatic/Dynamic Power Analysis© 2006 Synopsys, Inc. (12)SYN Step Power Optimization•As per the recommended flow leakage power optimization is enabled in the default DCT flow. This is enabled byputting the following constraint in the constraints_design.tcl fileset_max_leakage_power 0•Dynamic Power is not enabled by default. To enable dynamic power optimization, you should add one of the following © 2006 Synopsys, Inc. (13)constraints to constraints_design.tcl set_max_dynamic_power 0 set_max_total_power 0•If dynamic power optimization is enabled, the tools by default use a vector-free approach for switching activity. If you would like to annotate your own switching activity file, you should include the appropriate commands inconstraints_design.tcl to include the file.PNR Step ICC Power Constraints Setup•Like the rest of the flow, the PNR step uses a vector-free approach for switching activity by default•If you annotated a switching activity file as part of the initial constraint file loaded duringSYN, the switching activity should carry forward to the rest of the flow in the database.© 2006 Synopsys, Inc. (14)•If you did not annotate a switching activity file during SYN, but would like to annotate one during PNR, then you should include the appropriate switching activity commands in the the PNR section of constraints_design.tcl.If you are enabling MCMM for ICC, then you should include switching activity for each scenario in constraints_design.tcl if you elect to NOT use the default vector-free approachDC/ICC Power Constraints•It is NOT a requirement to load switching activity files. DC andICC by default use a vector free approach for calculating dynamicpower consumption. Pilot is configured to use the vector-free approach by default.•The loading of switching activity, if NOT using the vector-free approach, should be included as part of the timing constraint file, © 2006 Synopsys, Inc. (15)TEV_CONSTRAINT_FILEDefault : blocks/<block>/scripts_block/conf/constraints_design.tcl Any valid tool command (e.g. set_switching_activity) can beincluded in this file.When MCMM is enabled, switching activity should be included for each scenario.DC/ICC Non-MCMM Power Constraints•For non-MCMM optimization, no scenarios are defined in constraints_design.tcl DC power optimization is © 2006 Synopsys, Inc. (16)•enabled byset_max_leakage_power, set_max_dynamic_power, set_max_total_power•Switching activity loaded once based upon commands included inconstraints_design.tclBy default no switching activity is loaded in the vector-freeMCMM Power Constraints•For DC/ICC MCMMoptimization, powerconstraints, if any, should beincluded for each scenario inconstraints_design.tcl© 2006 Synopsys, Inc. (17)PNR Step Power Optimization•Power optimization can be enabled during three tasks in the PNR flowicc_place_opt•To enable include “-power” in the TEV_PLACE_OPT_OPTIONS variableicc_clock_opt•Automatically performs power optimization based on TEV_SET_POWER_OPTIONS being non nullicc_route_opt•To enable include “-power” in the TEV_ROUTE_OPT_OPTIONS variable© 2006 Synopsys, Inc. (18)•In each of these tasks the TEV variable TEV_SET_POWER_OPTIONS isused to pass command options to the ICC set_power_options commandicc_place_opt TEV_SET_POWER_OPTIONS = “-leakage true –dynamic true” icc_clock_opt TEV_SET_POWER_OPTIONS = “-leakage true –dynamic true”icc_route_opt TEV_SET_POWER_OPTIONS = “-leakage true”•It is possible to perform different power optimizations in each task bysetting TEV variables on a task by task basisLow Power FlowClock GatingMulti-Vt LibrariesStatic/Dynamic OptimizationStatic/Dynamic Power Analysis© 2006 Synopsys, Inc. (19)Power Analysis•PrimeTime-PX is the recommended power analysis tool. Foraccurate power numbers, you must use PrimeTime-PX.•Power analysis is also performed in ICC and DCT (if poweroptimization is enabled).It is recommended that you only use these numbers as a relativeindication of power consumption. Here are the DCT and ICC power © 2006 Synopsys, Inc. (20)analysis reports that are generated.•blocks/<block>/syn/rpts/020_syn/dc.report_power•blocks/<block>/pnr/rpts/$GEV_DST/icc.report_power (where $GEV_DST is any icc task destination)•Use PrimeTime-PX for absolute power analysis numbersPrimeTime-PX Power Analysis• • • •TEV_POWER_ANALYSIS is set to 1 so power analysis is always enabled by default during STA runs PrimeTime-PX is run as part of the static timing analysis that happens at the end of each step. The static timing flow is run from its own makefile, blocks/<block>/scripts_block/sta/fl ow_sta.gmake The makefile contains 2 targets (one to generate scenarios and one to run the scenarios) for each step which are run at the end of each step© 2006 Synopsys, Inc. (21)Predictable Successexecute_scenarios.tcl• Power analysis is always enabled by default becauseTEV_POWER_ANALYSIS is set to 1 in flow_sta.gmake• The default switching format is VECTORFREE as specified byTEV_SWITCHING_FORMAT. Other options are TCL, SAIF and VCD. Note that you could still load SAIF or VCD formats even if you specify TCL for the switching format. You could do this by putting read_saif or read_vcd commands inside the TCL file pointed to by TEV_SWITCHING_FILE.© 2006 Synopsys, Inc. (22)Predictable SuccessSummary•Pilot supports several low power flow featuresClock Gating Multi-Vt Optimization Static and Dynamic power optimization•Power optimization occurs during PNR step and can optionally be enabled in the SYN step – optimization can occur with our without Multi-Vt cellsDCT Synthesis ICC Place Opt ICC Clock Opt ICC Route Opt•Pilot contains full support for implementation and verification of multi-VDD designs which is covered in the UPF unitCoarse grain MtCmos, level shifters, isolation cells, retention registers Demonstrated on the des_hard_macro_multi_vdd sample design•Power analysis is uses PrimeTime-PX and is run as part of the STA flow at the end of each step© 2006 Synopsys, Inc. (23)Predictable Success• Backup MaterialsInteractive Dynamic Power Gating Analysis© 2006 Synopsys, Inc. (24)Predictable SuccessPower Gating Dynamic IR AnalysisPM Cell PlacementPM Cell Placement• • •Main / controlled P/G routed & regular cells placed Need to decide Number and Location of PM cells Rail analysis needs: What-if analysis to enable quick iteration and decisionPowerPower-up Sequence DesignPowerPower-up Sequence Design• • •PM cells are placed PM cell P/G routed but signal pins not connected Rail analysis needs: Create power-up sequence “template” from design Flexibility in power-up sequence configuration to enable fast explorationFinal Analysis in implementation / signoffFinal Analysis / SignSign-off•Rail analysis needs: Link with IC Compiler for final analysis in implementation (vectorfree flow) Link with PrimeTime for sign-off (VCD flow)© 2006 Synopsys, Inc. (25)Predictable SuccessNumber & Location of PM CellsVDD VDDVVSS© 2006 Synopsys, Inc. (26)Predictable SuccessPower-Up SequenceVDD VDDVVSS© 2006 Synopsys, Inc. (27)Predictable SuccessWhat-if Power-Up SequenceVDD VDDVVSS© 2006 Synopsys, Inc. (28)Predictable Success“What-if” Sequence Analysis (65nM design)Wakeup Time Power-up sequence from PT report Peak rush current: 312mA Wake-up time: 4.9ns Peak voltage drop: 337mV One-by-oneWakeup TimeRow-by-rowRow-by-row turn-on with 0.1 ns interval Peak rush current: 789mA Wake-up time: 1.84ns Peak voltage drop: 642mV© 2006 Synopsys, Inc. (29)Predictable Success。