当前位置:文档之家› 16x96点阵屏设计

16x96点阵屏设计

16x96点阵屏设计
16x96点阵屏设计

16 x 96LED点阵屏系统

现在LED点阵屏在社会上的应用非常广泛,它具有亮度高、发光均匀、可靠性好、接线简单、拼装方便等优点,能构成各种尺寸的显示屏,因此,它被广泛应用于大型LED智能显示屏、智能仪器仪表和机电一体化设备的显示屏中,取得了较好的效果。随着微电子技术、计算机技术及信息处理技术的发展,LED点阵显示屏正作为一种新的传媒工具,在越来越多的领域中发挥作用.如广告、金融、交通、文艺、商业、体育、工业、教学、军事、政府等几乎涉及到生活中的各个方面.大到几十平方的户外广告屏,小到电梯系统中用的显示屏或交通指示屏,许多企业及政府部门应用了电子黑板,证券、银行等部门也有信息数字混合屏,带来了广泛的社会效益及经济效益。

接下来讲解点阵屏的制作:

一、材料

8*8点阵屏模块X 24块

74HC595 X 12片

74LS138 X 2片

S8550 X 16个

STC89C52RC最小系统X 1

电源模块(5v)X1

8*8点阵模块:

显示单元(Display unit)由电路及安装结构确定的并具有显示功能的器件组成LED显示屏的最小单元,也叫点阵显示模块。

点阵显示屏是由上万个或几十万个LED发光二极管组成,每个发光二极管称为一个像素。为了取得良好的显示一致性并简化器件结构,八十年代以来出现了组合型LED点阵显示器,以发光二极管为像素,它用高亮度发光二极管芯阵列组合后,环氧树脂和塑模封装而成,即所谓的点阵模块。点阵模块具有高亮度、功耗低、引脚少、视角大、寿命长、耐湿、耐冷热、耐腐蚀等特点。按照颜色的不同分为单基色、双基色和三基色三类,可显示红、黄、绿、蓝、橙等颜色。按照点阵规模大小分有4×4、4×8、5×7、5×8、8×8、16×16、24×24、32×32、40×40等。按照像素的直径大小分有φ3、φ3.75、φ5、φ10、φ20等。

从内部结构可以看出8×8点阵共需要64个发光二极管,且每个发光二极管是放置在各行和列的交叉点上。当对应的某一列置高电平,另一列置低电平时,则在该行和列的交叉点上相应的二极管就亮。LED显示屏就是由若干个点阵模块组成的,它通过一定的控制方式,就可以显示文字、文本、图形、图像、动画等各种信息,以及电视、录像信号。

二、方案设计

实现的方案很多,这里采用EA改进的方案,以适应EA的小产品。于是使用LED点阵显示信息,控制电路采用数字电路控制,实现简单方便。

三、系统硬件

显示屏驱动部分:

显示屏分成屏体和控制器两部分,屏体的主要部分是显示阵列以及有行列驱动电路。根据安装环境的空间以及考虑成本造价,决定使用多大尺寸的显示屏,从而决定了显示模块的数量,这里以8×8点阵为例,模块单位为256点阵,即需要16块点阵模块。汉字一般是256点阵,那么该屏可以一次显示4个汉字。

采用扫描方式进行显示时,分成两步,对于每行有一个行驱动器,各行的同名列共用一个列驱动器,由单片机给出行选通信号,从第一行开始依次对各行进行扫描,对于列,根据各列所存数据,确定相应的列驱动器是否将该列与行接通,如果接通,那么该行该列的LED将亮,以同样方法进行显示全部各行都扫描一遍之后(一个扫描周期),再从第一行开始,进行下一个周期的扫描。只要一个扫描周期的时间比人眼1/25秒的滞留时间短,就不会感觉出闪烁现象。

显示数据从驱动芯片到显示模块是以并行方式传输的,但显示数据从单片机到驱动芯片是以串行方式传输的,由于串行传输的控制电路简单,设计容易,缺点是串行数据传输需要很长的时间,不过这可以由软件来弥补,也可考虑单片机的控制速度。

显示驱动电路由74HC595组成。74HC595输入端是8位串行移位寄存器,输出端是8位并行缓存器具有锁存功能。由于CLK、LOAD端相连,而数据线分开,这样在同一脉冲下,行列的数据可以同时进行传入,行列数据准备好后,启动LOAD信号使所有数据同时输出并锁存,这样的设计较之传统方法提高了4倍的速度,占用I/O口少,由于CLK,LOAD引线较长,为避免线间干扰,在驱动6片74Ls595之后再加驱动芯片74L5244以驱动下一级驱动电路。

行列扫描驱动相当于对发光管脉冲供电,要获得与直流驱动方式相当的发光强度,脉冲驱动电流的平均电流I1与直流电流I2相同,它们与脉冲电流幅值If的关系为If=Ton/Th,×I1是扫描周期,Ton是导通时间,占空比是1/16,扫描频率应大于24HZ,I取8mA,那么I=8×16=128mA,发光管压降取2V,那么每个发光管应串接50的电阻。行驱动最大瞬间电流能达到128×80=10.240A。

LED显示屏驱动电路的设计,与所用控制系统相配合,通常分为动态扫描型驱动及静态锁存型驱动二大类。以下就动态扫描型驱动电路的设计为例为进行分析:动态扫描型驱动方式是指显示屏上的4行、8行、16行等n行发光二极管共用一组列驱动寄存器,通过行驱动管的分时工作,使得每行LED的点亮时间占总时间的1/n,只要每行的刷新速率大于50Hz,利用人眼的视觉暂留效应,人们就可以看到一幅完整的文字或画面。常规型驱动电路的设计一般是用串入并出的通用集成电路芯片如74HC595或MC14094等作为列数据锁存,以8550等小功率PNP三极管为行驱动,而以达林顿三极管如TIP127等作为行扫描管。如动态扫描型驱动方式是指显示屏上的4行、8行、16行等n行发光二极管共用一组列驱动寄存器,通过行驱动管的分时工作,使得每行LED的点亮时间占总时间的1/n,只要每行的刷新速率大于50Hz,利用人眼的视觉暂留效应,人们就可以看到一幅完整的文字或画面。常规型驱动电路的设计一般是用串入并出的通用集成电路芯片如74HC595或MC14094等作为列数据锁存,以8550等小功率PNP

如以单色点阵、16行×64列为一个基本单元,则需用8片74HC595、16个行扫描管,其工作原理为:将八片74HC595级连,共用一个串行时钟CLK及数据锁存信号STR。当第一行需要显示的数据经过8×8=64个CLK时钟后将全部移入74HC595中,此时产生一个数据锁存信号STR,使数据锁存在74HC595的后级锁存器中,同

时由行扫描控制电路产生信号使第一行扫描管导通,相当于第一行LED的正端都接高平,显然第一行LED管子的亮、灭取决于74HC595中所锁存的信号;在第一行LED管子点亮的同时,在74HC595中移入第二行需要显示的数据,随后将其锁存,并同时由行扫描控制电路将第一行扫描管关闭而接通第二行,使第二行LED管子点亮……以此类推,当第十六行扫描过后再回到第一行,只要扫描速度足够高,就可形成一幅完整的文字或图像。

显示屏主控制部分:

硬件:

采用STC89C52RC最小系统控制,具体电路图,请参考电路图。

软件:

下面为主程序,采用C语言编程,代码如下:

/*****************************************************

16*128 LED点阵屏 C 程序

******************************************************

//595连级输出数据,138行驱动。P0_1为移动速度高速/*点阵显示汉字程串口输出字符数据,

//P2口输出行扫描信号,P2_7输出595锁存信号。*/

#include

#include// 后加的

#define uchar unsigned char

#define uint unsigned int

uchar yid,h; //YID为移动计数器,H为行段计数器。

uint zimuo; //字模计数器

uchar code hanzi[]; //汉字字模

uchar BUFF[18]; //缓存

void in_data(void); //调整数据

void rxd_data(void); //发送数据

void sbuf_out(); //16段扫描

unsigned code sw[16]={0x00,0x01,0x02,0x03,0x04,0x05,0x06,0x07,0x08,0x09,0x0a,0x0b,0x0c,0x 0d,0x0e,0x0f}; //16行段码*/

//0x60,0x62,0x64,0x66,0x68,0x6a,0x6c,0x6e,0x70,0x72,0x74,0x76,0x78,0x7a,0x7 c,0x7e}; /*16行段码*/

/********************************************/

void main(void)

{

uchar i,d=4;

yid=0;

zimuo=0;

while(1)

{

while(yid<16) //数据移位。

{

for(i=0;i

{

sbuf_out();

}

yid++; //移动一步

}

yid=0;

zimuo=zimuo+32; //后移一个字,

if(zimuo>=1344) //总数减7个字。

zimuo=0;

}

}

/********************************/

void sbuf_out()

{

for(h=0;h<16;h++) //16行扫描

{

in_data(); //调整数据

rxd_data(); //串口发送数据

P1=0x7f; //关闭显示。

P1_7=1; //锁存为高,595锁存信号

P1=sw[h]; //送段码

}

}

/******************************************************/

void in_data(void)

{

char s;

for(s=8;s>=0;s--) //h为向后先择字节计数器,zimuoo为向后先字计数器

{

BUFF[2*s+1]=hanzi[zimuo+32*s+2*h]; //把第一个字模的第一个字节放入BUFF0中,第二个字模和第一个字节放入BUFF2中

BUFF[2*s]=hanzi[zimuo+1+32*s+2*h]; // 把第一个字模的第二个字节放入BUFF1中,第二个字模的第二个字节放入BUFF3中

}

}

/*******************************************************/

void rxd_data(void) //串行发送数据

{

char s;

uchar inc,tempyid,temp;

if(yid<8)

inc=0;

else

inc=1;

for(s=0+inc;s<16+inc;s++) //发送8字节数据

{

if(yid<8)

tempyid=yid;

else

tempyid=yid-8;

temp=(BUFF[s]>>tempyid)|(BUFF[s+1]<<(8-tempyid)); //h1左移tempyid位后和h2右移8-tempyid相或,取出移位后的数据。

//temp=255-temp;

//for(m=0;m<4;m++)

//temp=_crol_(temp,1);

SBUF=temp;//把BUFF中的字节从大到小移位相或后发送输出。

while(!TI);TI=0; //等待发送中断

}

}

/*******************************************************/

uchar code hanzi[]=

{

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

//============================================================== ===============

/*-- 调入了一幅图像:这是您新建的图像--*/

/*-- 宽度x高度=16x16 --*/

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff, 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x ff,0xff, 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,

0xFF,0x7F,0xFF,0x7F,0xFE,0x3F,0xFE,0x3F,0xFE,0x3F,0xFC,0x1F,0x80,0x00,0xE 0,0x03,0xF0,0x07,0xF8,0x0F,0xF8,0x0F,0xF0,0x07,0xF0,0x87,0xF3,0xE7,0xEF,0x FB,0xFF,0xFF,//★0

0xFF,0x7F,0xFF,0x7F,0xFE,0x3F,0xFE,0x3F,0xFE,0x3F,0xFC,0x1F,0x80,0x00,0xE 0,0x03,0xF0,0x07,0xF8,0x0F,0xF8,0x0F,0xF0,0x07,0xF0,0x87,0xF3,0xE7,0xEF,0x FB,0xFF,0xFF,//★1

0xFF,0x7F,0xFF,0x7F,0xFE,0x3F,0xFE,0x3F,0xFE,0x3F,0xFC,0x1F,0x80,0x00,0xE 0,0x03,0xF0,0x07,0xF8,0x0F,0xF8,0x0F,0xF0,0x07,0xF0,0x87,0xF3,0xE7,0xEF,0x FB,0xFF,0xFF,//★2

0xFE,0xF7,0xFE,0xF7,0xE0,0x00,0xF6,0xF7,0xF6,0xB7,0xF6,0x67,0xF4,0xF0,0x E9,0x75,0xAB,0xB7,0xAF,0xD5,0x9F,0xEB,0xF7,0x7F,0xEE,0xEB,0xCC,0xD9,0xD D,0xDC,0xFF,0xFE,//热0

0xDC,0x01,0xDF,0xEF,0xDB,0xEF,0xDA,0x0F,0xDB,0x77,0xDB,0x77,0xDB,0xAB, 0xDB,0xAD,0xDF,0xDF,0xD7,0xEF,0xEF,0xF3,0xF7,0xFC,0xCD,0xDB,0x9B,0xBB, 0xBB,0xBB,0xFF,0xFD,//烈1

0xFE,0xFF,0xFE,0xFF,0xFE,0xC0,0x80,0x5F,0xDF,0x5E,0xED,0xAD,0xFD,0xEB,0 xFD,0xF7,0xFD,0xE7,0xF9,0xE7,0xFA,0xDB,0xF6,0xDB,0xE7,0x7D,0x8F,0x9E,0x DF,0xE3,0xFF,0xFF,//欢2

0xFF,0xFD,0xFE,0x7B,0xC1,0x93,0xDD,0xDB,0xDD,0xDF,0xDD,0xDF,0xDD,0xD8 ,0xDD,0xDB,0xDD,0x5B,0xD5,0x9B,0xED,0xDB,0xFD,0xFB,0xFD,0xFB,0xFF,0xF 5,0x80,0x0E,0xFF,0xFF,//迎3

0xFF,0xFF,0xFF,0xFD,0x80,0x13,0xFD,0xFB,0xED,0xBF,0xCD,0x7F,0xF5,0x70,0x FD,0xF7,0x80,0x17,0xFD,0xF7,0xFD,0xF7,0xFD,0xF7,0xFD,0xD7,0xFD,0xE7,0xF D,0xF7,0xFD,0xFF,//评4

0xFD,0xEF,0xFD,0xCF,0xFD,0xF7,0xDD,0xF7,0x80,0x1B,0xFD,0xF3,0xFD,0xF9,0 xFD,0xFA,0xC0,0x3B,0xDF,0xBB,0xDF,0xBB,0xDF,0xBB,0xDF,0xBB,0xC0,0x3B,0 xDF,0xBB,0xFF,0xFF,//估5

0xFF,0x7F,0xFF,0x7F,0xE0,0x03,0xFF,0xBF,0xFF,0xBF,0x80,0x00,0xFF,0xDF,0xF B,0xEF,0xF0,0x0F,0xFB,0xFF,0xFD,0xFF,0xFE,0xBF,0xFF,0x7F,0xFE,0xFF,0xFD,0 xFF,0xFF,0xFF,//专6

0xFF,0xBF,0xFF,0x7F,0x80,0x03,0xDF,0xFD,0xE8,0x05,0xFF,0x7F,0xFF,0xBF,0xF B,0x0F,0xF2,0xB1,0xFC,0xDF,0xFC,0x67,0xF2,0x99,0x8E,0xE7,0xDE,0xF9,0xFF, 0x5F,0xFF,0xBF,//家7

0xFB,0xDF,0xFB,0xDF,0x80,0x01,0xFB,0x5F,0xFC,0xF7,0xFE,0xE7,0xC0,0x17,0x FF,0xF3,0xF7,0xF5,0xE7,0xB6,0xF7,0x77,0xFA,0x77,0xFB,0x77,0xFF,0xF7,0x80, 0x07,0xFF,0xF7,//莅8

0xFF,0x7F,0xFE,0x6F,0xFF,0x6F,0x80,0x6D,0xFB,0xAD,0xF7,0xAD,0xF7,0xCD,0x DF,0x6D,0x80,0x6D,0xDB,0x6D,0xDB,0x6D,0xDB,0x6D,0xDB,0x6D,0xC0,0x6F,0x DF,0x6F,0xFF,0xFF,//临9

0xFD,0x9F,0xF5,0xE3,0xED,0xEF,0xED,0xEF,0xFD,0xEF,0x80,0x00,0xFD,0xEF,0 xED,0xEF,0xEB,0x8F,0xF3,0xE3,0xFB,0xEC,0xF5,0xEF,0xB6,0x6F,0xAF,0xEF,0x9 F,0xEB,0xBF,0xF7,//我10

0xC1,0xFF,0xFE,0x03,0xFB,0xBF,0xFB,0xDF,0xFD,0xEF,0xFE,0x07,0xFB,0x3F,0x F7,0xCF,0xE0,0x03,0xCE,0xF7,0xFA,0xDF,0xF6,0xEF,0xEE,0xF7,0xDE,0xFB,0xD E,0xBD,0xFF,0x7F,//系11

0xFF,0x77,0xE3,0x77,0xFC,0x77,0xDF,0x77,0xDF,0x40,0xC0,0x77,0xFF,0xD7,0x C0,0x67,0xDF,0x73,0xDF,0x74,0xC0,0x77,0xDF,0x77,0xDF,0x77,0xDF,0x77,0xC0, 0x75,0xFF,0xFB,//指12

0xFF,0xFF,0xF0,0x07,0xF7,0xF7,0xF0,0x07,0xDF,0xF7,0xDF,0xF7,0xC0,0x0F,0xF B,0xFF,0xFB,0xFF,0x80,0x00,0xFB,0xEF,0xFB,0xDF,0xFB,0x9F,0xFB,0xDF,0xFA, 0xFF,0xFD,0xFF,//导13

0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF ,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF,0xFF,0xFF,0xF 7,0xFF,0xFF,//!14

0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF ,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF,0xF7,0xFF,0xFF,0xFF,0xF

7,0xFF,0xFF,//!15

0xFF,0x7F,0xFF,0x7F,0xFE,0x3F,0xFE,0x3F,0xFE,0x3F,0xFC,0x1F,0x80,0x00,0xE 0,0x03,0xF0,0x07,0xF8,0x0F,0xF8,0x0F,0xF0,0x07,0xF0,0x87,0xF3,0xE7,0xEF,0x FB,0xFF,0xFF,//★0

0xFF,0x7F,0xFF,0x7F,0xFE,0x3F,0xFE,0x3F,0xFE,0x3F,0xFC,0x1F,0x80,0x00,0xE 0,0x03,0xF0,0x07,0xF8,0x0F,0xF8,0x0F,0xF0,0x07,0xF0,0x87,0xF3,0xE7,0xEF,0x FB,0xFF,0xFF,//★1

0xFF,0x7F,0xFF,0x7F,0xFE,0x3F,0xFE,0x3F,0xFE,0x3F,0xFC,0x1F,0x80,0x00,0xE 0,0x03,0xF0,0x07,0xF8,0x0F,0xF8,0x0F,0xF0,0x07,0xF0,0x87,0xF3,0xE7,0xEF,0x FB,0xFF,0xFF,//★2

0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xE0,0x03,0xEF,0x7B,0xEF,0x7B,0xE0,0x03,0xE F,0x7B,0xEF,0x7B,0xEF,0x7B,0xE0,0x03,0xEF,0x7B,0xBF,0x7F,0xBF,0x7F,0x80,0 xFF,0xFF,0xFF,//电0

0xFF,0xFF,0xF0,0x03,0xFB,0xFF,0xFD,0xFF,0xFE,0xFF,0xFF,0x7F,0xFF,0x7F,0xD F,0x7F,0x80,0x00,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x5 F,0xFF,0xBF,//子1

0xFF,0xFF,0xC0,0x03,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF, 0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0x80,0x00,0xFF,0xFF, 0xFF,0xFF,//工0

0xE0,0x4F,0xEF,0x71,0xEF,0x77,0xEF,0x77,0xEF,0x40,0xE0,0x77,0xFF,0xF3,0xC 0,0x23,0xFD,0xD5,0xFD,0xF5,0xC0,0x36,0xFD,0xF7,0xFD,0xF7,0xFD,0xF7,0x80, 0x17,0xFF,0xF7,//程1

0xC1,0xFF,0xFE,0x03,0xFB,0xBF,0xFB,0xDF,0xFD,0xEF,0xFE,0x07,0xFB,0x3F,0x F7,0xCF,0xE0,0x03,0xCE,0xF7,0xFA,0xDF,0xF6,0xEF,0xEE,0xF7,0xDE,0xFB,0xD E,0xBD,0xFF,0x7F,//系4

0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xE0,0x03,0xEF,0x7B,0xEF,0x7B,0xE0,0x03,0xE F,0x7B,0xEF,0x7B,0xEF,0x7B,0xE0,0x03,0xEF,0x7B,0xBF,0x7F,0xBF,0x7F,0x80,0 xFF,0xFF,0xFF,//电5

0xFF,0xFF,0xF0,0x03,0xFB,0xFF,0xFD,0xFF,0xFE,0xFF,0xFF,0x7F,0xFF,0x7F,0xD F,0x7F,0x80,0x00,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x7F,0xFF,0x5 F,0xFF,0xBF,//子6

0xFD,0xFB,0xFD,0xFB,0xFD,0xFB,0xE0,0x3B,0xED,0xC0,0xED,0xFB,0xED,0xBB ,0xCD,0xBB,0xAE,0xDB,0xAE,0xDB,0xEE,0xFB,0xEF,0x7B,0xEF,0x7B,0xEB,0xB B,0xF7,0xDB,0xFF,0xFF,//协7

0xFF,0x7F,0xFF,0x7F,0xFE,0xBF,0xFD,0xDF,0xFB,0xEF,0xE7,0xF7,0x88,0x09,0x DF,0xFE,0xFF,0xFF,0xE0,0x03,0xFF,0xBF,0xFB,0xDF,0xF7,0xEF,0xE0,0x77,0xE7, 0x03,0xF7,0xF7,//会8

0xFE,0xFF,0xFE,0xFF,0xFE,0xC0,0x80,0x5F,0xDF,0x5E,0xED,0xAD,0xFD,0xEB,0 xFD,0xF7,0xFD,0xE7,0xF9,0xE7,0xFA,0xDB,0xF6,0xDB,0xE7,0x7D,0x8F,0x9E,0x DF,0xE3,0xFF,0xFF,//欢9

0xFF,0xFD,0xFE,0x7B,0xC1,0x93,0xDD,0xDB,0xDD,0xDF,0xDD,0xDF,0xDD,0xD8 ,0xDD,0xDB,0xDD,0x5B,0xD5,0x9B,0xED,0xDB,0xFD,0xFB,0xFD,0xFB,0xFF,0xF 5,0x80,0x0E,0xFF,0xFF,//迎10

0xFF,0xEF,0xFF,0x6F,0x80,0x77,0xDF,0xB7,0xFD,0xD3,0xF5,0xB3,0xED,0xB5,0x

DD,0xD6,0xDD,0x77,0xFE,0xF7,0xFF,0xFF,0xDF,0x6B,0xB6,0xEB,0xB7,0xE9,0xF 0,0x1F,0xFF,0xFF,//您11

0xFE,0xF7,0xFE,0xF7,0xFE,0xFB,0xC0,0xC1,0xDF,0x5D,0xDF,0x5D,0xDF,0x9D,0 xDE,0xC1,0xDD,0xDD,0xD9,0xDD,0xDB,0xDD,0xDF,0xDD,0xDF,0xC1,0xEB,0xDD ,0xF7,0xFD,0xFF,0xFF,//的12

0xFF,0x7F,0xF7,0x7B,0xE7,0x67,0xF7,0x4F,0xFB,0x6F,0xDF,0x7F,0x80,0x01,0xF D,0xDF,0xFD,0xDF,0xFD,0xDF,0xFD,0xDF,0xBD,0xEF,0xBD,0xEF,0xBD,0xF7,0x8 3,0xFB,0xFF,0xFD,//光13

0xFF,0x7F,0xFE,0x6F,0xFF,0x6F,0x80,0x6D,0xFB,0xAD,0xF7,0xAD,0xF7,0xCD,0x DF,0x6D,0x80,0x6D,0xDB,0x6D,0xDB,0x6D,0xDB,0x6D,0xDB,0x6D,0xC0,0x6F,0x DF,0x6F,0xFF,0xFF,//临14

0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFC ,0x3F,0xE3,0xC7,0x9F,0xF9,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xF F,0xFF,0xFF,//︿15

0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF, 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xC0,0x01 ,0xFF,0xFF,//_16

0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFC ,0x3F,0xE3,0xC7,0x9F,0xF9,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xF F,0xFF,0xFF,//︿17

//============================================================== ==============

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00,

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, 0x00

};

四、系统测试结果

运行良好,但是同时也出现问题,该系统不适合手工焊接,因为焊接的错误,查找十分不便,因为工作在高频段,要处理好干扰问题,建议采用敷铜板,效果更好。

五、总结

本系统应用了单片机技术,点阵屏显示技术,数字电路等,本系统经过改进后还可以应用到广告宣传的领域,可以通过远程通信实现对点阵屏幕的远程控制。在通信网络日益完善、通信工具日趋普及的今天,是一种很值得推广的技术。该系统具有较高的性能价格比,由此开发出的智能信息显示产品符合未来智能交通的发展方向,很具市场发展潜力。

致谢:

在该项目最困难的时期,锻炼了我独立解决和思考问题的能力。同时,也对老师所给予的指导和帮助以及EA成员的努力表示最衷心的感谢!

LED点阵书写显示屏设计方案

LED点阵书写显示屏设计方案 第一章设计任务及要求 1.1、任务 设计并制作一个基于32x32点阵LED模块的书写显示屏,其系统结构如图1所示。在控制器的管理下,LED点阵模块显示屏工作在人眼不易觉察的扫描微亮和人眼可见的显示点亮模式下;当光笔触及LED点阵模块表面时,先由光笔检测触及位置处LED点的扫描微亮以获取其行列坐标,再依据功能需求决定该坐标处的LED是否点亮至人眼可见的显示状态(如下图中光笔接触处的深色LED点已被点亮),从而在屏上实现“点亮、划亮、反显、整屏擦除、笔画擦除、连写多字、对象拖移”等书写显示功能。 图1.1 LED点阵书写显示屏系统结构示意图 1.2、要求 (1)在“点亮”功能下,当光笔接触屏上某点LED时,能即时点亮该点LED,并在控制器 上同步显示该点LED的行列坐标值(左上角定为行列坐标原点)。 (2)在“划亮”功能下,当光笔在屏上快速划过时,能同步点亮划过的各点LED,其速度 要求2s能划过并点亮40点LED。 (3)在“反显”功能下,能对屏上显示的信息实现反相显示(即:字体笔画处不亮,无笔 画处高亮)。 (4)在“整屏擦除”功能下,能实现对屏上所显示信息的整屏擦除。

第二章系统整体框架 系统整体框架图如图一所示,分为控制模块、显示模块、光笔模块、LED点阵模块和辅助模块(包括键盘、数据存储等)。 图2.1 系统整体框架图

第三章方案论证与比较 3.1、控制模块 在数字信号处理中,常用的控制器有FPGA、DSP及嵌入式51单片机。 FPGA可以直接用硬件扫描、编码、解码、纠错,速度快、稳定性高,但其价格昂贵,很多的功能在本设计难以使用到。 DSP都有较快的数据处理速度,能实时地、快速地监测信号量的变化,但其受采样频率的限制,处理频率围有限。 AT89S52 是一种低功耗、高性能CMOS 8位微控制器,具有8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案,具有硬件的设计十分简单,软件开发周期短等特点。 考虑到价格、功耗及系统的要求,最终选用AT89S52单片机为控制系统。 3.2、光笔模块 光笔设计的关键是选择合适的传感器件,只有具有很高的灵敏度和一定的响应时间的传感器才能完成系统的要求及功能。 方案一:采用核心部件为光敏电阻制成的光笔检测系统。光敏电阻是将光能转换为电能的一种传感器件,它是构成光电式传感器的主要部件。光敏电阻结构简单、使用方便、价格便宜,但其响应时间长,不易检测。 方案二:采用光敏二极管,与光敏电阻相比有较好的高频特性,具有较好的可靠性,功耗低,且同样价格低廉,使用方便。 比较两种器件,系统设计中选用光敏二极管制作光笔模块。 3.3、LED点阵模块 LED点阵的显示方式有以下几种: 1)在LED点阵上贴上一层触摸屏,形成压膜式LED点阵。把触摸屏的信息通过微处理器处理来控制LED点阵显示。这种显示方式准确,反应速度快,光笔制作简单,但造价高。 2)用普通的LED点阵,在LED点阵的边上加上红外线传感接收器,构成32×32的

微机接口实验-16x16点阵显示

实验04·LED显示器 王梦硕 0930******* 实验目的: 在理解LED点阵工作原理的基础上,实践使用点阵显示字符。 实验原理: 1·点阵式显示器: 发光二级管排列成矩阵,由亮与暗来产生字符或图形。 每一样的阳极连在一起,每一列的阴极连在一起,如右图所 示。 点阵显示器每一列的阴极连在一起,对每一列而言相当 于一个共阴显示器。同时每一行的阳极连在一起,相当于七 段显示器的比划。可采用动态显示电路,以笔画锁存器控制 行信号,以位锁存器控制列信号。 2·74HC595 实验中使用两片8位输出锁存移位寄存器74HC595(三态输出、串入并出),将单片机I/O口发出的串行数据转换为并行数据LD_QA~LD_QP,作为16x16 LED点阵显示器的行线,使用另外两片8位74HC595作为16x16 LED点阵显示器的列线LD_1~LD_16。当行输出高电平、列输出低电平时,可以点亮点阵。74HC595的工作时序图和推荐的连接方法如下: 下图中: ?LD-QA~LD-QP:点阵行控制信号 ?LD-1~LD-16:点阵列控制信号 ?SER(14脚):串行数据输入端 ?-SCLR(10脚):低电平时将移位寄存器的 数据清零。通常将它接Vcc。 ?SCK(11脚):上升沿时将串行数据移入移 位寄存器。 ?RCK(12脚):上升沿时移位寄存器的数据 锁存入数据寄存器。 ?-G(13脚): 高电平时禁止输出(高阻态)。

实验内容: 在16×16LED点阵上分别用静态方式和滚屏方式显示自己的姓(行扫描)。 两个实验部分的电原理图是相同的,如下所示:

1·静态方式: 流程图: 程序代码: L_DAT_H BIT P1.0 L_DAT_L BIT P1.1 L_STR BIT P1.2 L_CLK BIT P1.3 L_OE BIT P1.4 ROWH EQU 40H ;字模信号(顺向取膜,高位在前)ROWL EQU 41H SELH EQU 42H ;行扫描信号

EDA 16x16点阵显示

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级 学号 姓名 指导教师乔汇东胡瑛 2012年7月2日

湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1001班 学生姓名 学号 指导老师乔汇东胡瑛 审批乔汇东 任务书下达日期2012 年6月23日 任务完成日期2012 年7月2日

《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第二十周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附: 课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

点阵电子显示屏制作讲解

点阵电子显示屏制作 潍坊学院 巩志民唐勇王芳 目录 摘要 (3) 1:方案论证与比较 (4) 1.1控制器部分 (4) 1.2 数据存储器 (4) 1.3 亮度连续可调 (5) 1.4 显示屏驱动电路的选择 (5) 1.5 键盘的选择 (6) 1.6 串行口的选择 (6) 2.系统的具体设计与实现 (6) 2.1系统总框图 (6) 2.2 硬件部分 (7) 2.2.1 采用16个LED8*8显示屏,构成16行*64列点阵显 示 (7) 2.2.2 LED显示屏驱动电路 (8) 2.2.3亮度连续可调 (9) 2.2.4 刷新频率的计算 (9) 2.2.5 键盘 (9) 2.3 软件方面 (10)

2.3.1 主程序的流程图 (10) 2.3.2 按键程序 (11) 2.3.3 行列的扫描 (12) 2.3.4 人机交互 (13) 3.测试、结果及分析 (14) 3.1基本功能 (14) 3.2 发挥功能部分 (14) 3.3 其他发挥部分 (14) 3.4刷新频率的测试 (14) 3.5 按键的结果测试 (15) 4.总结 (15) 参考资料:............................. 错误!未定义书签。

摘要 本设计使用ARM2138开发板作为主控制模块,利用简单的外围电路来驱动16*64的点阵LED显示屏。利用ARM本身强大的功能和大容量的内部存储,可以很方便的实现ARM与PC机和SD卡等外围存储设备的数据传输,并能利用软件方便的进行显示内容的多样变化,另一方面点阵显示屏广泛的应用于医院、机场、银行等公共场所,所以本设计具有很强的现实应用性。 Abstract ARM2138 used as a main controller design and use of simple external circuit to drive 16*64 the lattice LED display. ARM itself using powerful functions and capacity of internal storage, it is easy to realize the ARM and PC and SD card for external storage, data transmission equipment and the ability to use the software for the convenience of a variety of content changes, the other dot matrix display widely used in hospitals, airports, banks and other public places. Therefore, the design has a strong practical application.

LED点阵显示屏设计报告

西安邮电大学 开发性实验结题报告 学院:电子工程学院 班级:光信1201 姓名:袁云飞学号:05123010 班级:光信1201 姓名:赵晓伟学号:05123019 班级:光信1201 姓名:陶鹏江学号:05123018 237团队 2014年3月30日

16 32点阵LED电子显示屏 摘要: 本设计是一16×32点阵LED电子显示屏的设计。 整机以美国ATMEL 公司生产的40脚单片机AT89C52为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制两个行驱动器74HC573和四个列驱动器74HC573来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏采用8块8×8点阵LED显示模块来组成16×32点阵显示模式。文中详细介绍了LED点阵显示的硬件设计思路、硬件电路各个部分的功能及原理、相应软件的程序设计,以及使用说明等。 单片机控制系统程序采用单片机C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低廉等特点得到广泛的应用。 关键词:AT89C51单片机;LED;点阵显示;动态显示;C语言。 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,

EDA(LED点阵显示屏控制系统设计)

《EDA技术与应用》 课程设计报告 题目: LED点阵显示屏控制系统设计院(系):机电与自动化学院 专业班级:自动化 学生姓名: 学号: 2014 指导教师: 2017年6月 19日至2017年 6 月23 日 *******

《EDA技术及应用》课程设计任务书

摘要:我国经济正处于发展的高峰期,也需要广大的公共场合信息公示平台,而利用LED点阵滚动显示正好符合情况,且这种方式已经成为信息传递的一种重要手段。因此,在日常生活中,点阵随处可见。通过多种控制手段,点阵还可以实现各种文字甚至图案的动态显示。在不同的应用场合,点阵的设计要求也是不同的。传统思路一般是应用单片机实现点阵控制,但该方法有一定的局限性。 该次课程设计主要研究利用VHDL语言编程来设计汉字的显示。首先描述相应的设计电路;然后叙述在16*16矩阵显示汉字的原理;最后给出描述功能的VHDL设计语言。并通过编程、调试、仿真、下载正确实现汉字滚动、扫描显示结果。 关键词: LED点阵;FPGA;VHDL语言;汉字滚动显示。

目录 1.实验要求及总体方案 (1) 1.1 实验要求 (1) 1.2 扫描显示 (1) 1.3 滚动显示 (1) 2.LED点阵显示原理 (1) 2.1 LED点阵原理 (1) 2.2汉字取模 (2) 3.扫描显示 (3) 3.1 设计基本原理 (3) 3.2计数器设计 (3) 3.3 列驱动设计 (4) 3.4 行驱动设计 (4) 4.仿真图原理图及实物图 (4) 4.1仿真图 (4) 4.2原理图 (5) 4.3实物图 (6) 5.程序 (7) 参考文献: (10)

基于8086的LED点阵屏设计

基于8086的LED点阵屏设计 1、设计内容 LED电子显示屏是随着计算机技术及相关的微电子、光电子技术的迅猛发展而形成的一种新型信息显示媒介。它利用发光二极管构成的点阵或像素单元组成可变面积的显示屏幕,以可靠性高、使用寿命长、环境适应能力强、性价比高等特点,在短短的十几年中,迅速成长为平板显示的主流产品。由于LED电子显示屏具有显示内容信息量大,外形美观大方,操作使用方便灵活,用户可随时任意自行编辑修改显示内容,显示方式图文并茂等优点,因此被广泛应用于商场、学校、银行、邮局、机场、车站、码头、金融证券市场、文化中心、信息中心休息设施等公共场所。 Proteus是英国Labcenter electronics公司开发的EDA工具软件。它不仅具有其他EDA 工具软件的仿真功能,也是目前最好的仿真单片机及外围器件的工具。Proteus从原理图布图、代码调试到微处理器与外围电路协同仿真,一键切换到PCB设计,真正实现将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、8086、HC11、A VR、ARM和MSP430等。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。本课程设计在Proteus仿真平台上完成了8086控制的32×32LED点阵屏设计。 2、设计原理及方案 本课程设计采用基于8086微处理器的接口电路对外围设备进行控制。主要涉及到8086 CPU接口电路的设计与搭建、点阵屏的组装、点阵屏与接口电路的连接、点阵屏扫描方案等。 2.1 设计原理 原理部分主要介绍8086 CPU各引脚的功能以便说明接口电路的连接原理;点阵屏的基本概念和扫描方法。 2.1.1 8086微处理器 8086是Intel系列的16位微处理器,芯片上有4万个晶体管,采用HMOS工艺制造,用单一的+5V电源,时钟频率为4.77MHz~10MHz。8086有16根数据线和20根地址线,它既能处理16位数据,也能处理8位数据。可寻址的内存空间为1MB。

16X16点阵LED显示

毕业设计说明书 课题名称: 16乘16点阵显示电路的电路原理图及pcb绘制 学生姓名 专业 班级 时间 指导教师

姓名 设计题目16乘16点阵显示电路的原理图及pcb 绘制 指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3.对原理图里面的原件进行封装 4.创建链接表 5.导入到PCB里面,并排列连接 6.制造PCB版 7.

姓名 设计题目16乘16点阵显示电路的原理图及pcb绘制指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器 74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3对原理图里面的原件进行封装 4创建链接表 5导入到PCB里面,并排列连接 6制造PCB版

单片机×LED点阵显示屏方案

基于单片机的16×64LED点阵显示屏的设计 0 引言 LED点阵显示屏是一种简单的汉字显示器,具有价廉、易于控制、使用寿命长等特点,可广泛应用于各种公共场合,如车站、码头、银行、学校、火车、公共汽车显示等。本文详细介绍了一种低廉的16x64点阵LED显示屏的设计过程。 1 硬件系统设计 本系统采用AT89C52单片机作控制器,整个电路主要由单片机控制及其接口电路、驱动显示电路、电源电路等部分组成。为了简化显示屏电路,降低成本,本系统在单片机部分不加字库存储器。而在PC机上编辑汉字和字符显示信息,并将其转换为相应的点阵显示数据,然后通过串口(采用RS-232通信标准>送给单片机存储并进行显示处理。图1所示为其硬件系统原理图。 1.1 单片机控制电路 本系统由AT89C52构成单片机最小应用系统.同时配有11.0592 MHz晶振和按键复位电路等。系统外扩的一片Flash存储器29F040为数据存储器,可用来存储由PC机串口送来的点阵信息(通过软件将图像或文字转换成与LED显示屏的像素相对应的点阵信息>。该Flash存储器是一种非易失性存储器,它在供电电源关闭后仍能保持片内信息。因为

29F040的容量为512 KB(该芯片内部由8个64 Kbyte的读写块组成,可分块进行读、写和擦除等操作>,而AT89C52只能管理64KB的数据空间,所以,需将29F040分成8页,每页64KB。其页码可由单片机的P3.2~P3.4来选择。另外,采用MAX232可完成RS232与TTL 电平的转换,以便使PC机与单片机交换信息。 1.2 16x64点阵显示器的设计 图2是一种8x8的LED点阵单色行共阳模块的内部结构图,其单点工作电压Uf为1.8 V,正向电流IF为8~10 mA。当某一行线为高电平而某一列线为低时,其行列交叉的点就被点亮;而当其某一列线为高时,其行列交叉的点为暗;当某一行线为低电平时,无论列线如何,对应这一行的点全部为暗。 用四个8x8点阵显示可构成16x16点阵显示器,其连接方法如图3所示。图中,将(A>和(B>的8列、(C>和(D>的8列分别对应相连,同时将(A>和(C>的8行、 (B>和(D>的8行分别对应相连。即可形成一个16行(每一行有16个LED>、16列(每一列也有16个LED>

16 16点阵LED循环显示汉字汇编语言设计

LED16X16点阵显示 课程设计报告 学院信息工程学院 专业通信工程 班级0801 学生姓名 指导老师 二0一0年十二月 一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计内容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故

使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,内有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视觉暂留特性。将连续的几帧画面高速的循环显示,只要帧速率高于24帧/秒,人眼看起来就是一个完整的,相对静止的画面。最典型的例子就是电影放映机。在电子领域中,因为这种动态扫描显示方式极大的缩减了发光单元的信号线数量,因此在LED显示技术中被广泛使用。 以8×8点阵模块为例,说明一下其使用方法及控制过程。图2.1中,红色水平线Y0、Y1……Y7叫做行线,接内部发光二极管的阳极,每一行8个LED的阳极都接在本行的行线上。相邻两行线间绝缘。同样,蓝色竖直线X0、X1……X7叫做列线,接内部每列8个LED的阴极,相邻两列线间绝缘。 在这种形式的LED点阵模块中,若在某行线上施加高电平(用“1”表示),在某列线上施加低电平(用“0”表示)。则行线和列线的交叉点处的LED就会有电流流过而发光。比如,Y7为1,X0为0,则右下角的LED点亮。再如Y0为1,X0到X7均为0,则最上面一行8个LED 全点亮。 现描述一下用动态扫描显示的方式,显示字符“B”的过程。其过程如图3.1 图3.1用动态扫描显示字符“B”的过程 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本次设计。

点阵电子显示屏制作16x32

编号:A乙0301 点阵电子显示屏制作 目录 摘要 (2) 前言 (4) 一、设计要求 (4) 二、方案论证与比较 (5) 1、扫描方式的选择 (5) 2、行列控制方式的方案论证与选择 (5) 3、数字时钟显示模块的设计方案论证与选择 (6) 三、系统硬件电路设计 (6) 1、系统总体框图 (6) 2、各单元电路设计 (6) 2.1、LED点阵的选择 (6) 2.2、行列控制和驱动电路设计 (7) 2.3、实时时间控制电路的设计 (11) 2.4、系统电源参数的核算 (13) 2.5、单片机与PC机通信单元设计 (13) 2.6、键盘控制电路设计 (14) 三、软件设计 (15) 1、主程序设计 (15)

2、基于CPLD的行列控制逻辑电路的程序设计 (16) 3. PC机串口通信子程序设计 (16) 四、系统测试 (16) 五、设计总结 (17) 六、参考文献 (17) 点阵电子显示屏制作 摘要: 本设计是以AT-89S52单片机为控制核心,基于CPLD独立扫描的实用、高效的智能型LED大屏幕显示屏系统,该系统实现了按键切换、显示屏亮度连续可调、信息上下左右滚屏显示、预存信息定时循环显示,利用DS1302实现实时时间显示等功能,并能通过PC机串口直接对显示信息进行控制更新,具有刷新速度快、亮度高、功耗低等特点。 关键字:点阵LED CPLD MAX232 DS1302 Abstract:The Design of Graph Matrix Display Screen Based on MCS-51 Microprocessor,consists of Micro Control Unit (MCU) as its core, Base on CPLD carry out self-help scan , this system carry out follow function: using key-press shift the display content, adjust the time , continuum adjust the lightness, roll screen display ,timing circle display the pre-store information , using DS1302carry out real time display, etc. utilize PC , via serial interface , directly control the display content. This Graph Matrix Display Screen display screen with quick

8 16X16LED点阵显示程序

16×16按字显示程序: ;P0和P2口输出字型码,P1口输出列线扫描。 ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面MOV P0,A ;清除P0口 ANL P2,#00 ;清除P2口 MOV R2,#200 D100MS: MOV R3,#250 ;延时100毫秒 DJNZ R3,$ DJNZ R2,D100MS

MOV 20H,#00H ;字型码指针赋初值 L100: MOV R1,#10 ;每个字的停留时间 L16: MOV R6,#16 ;每个字16个16位码 MOV R4,#00H ;列线扫描指针清零,接4-16译码器,。 MOV R0,20H ;字型码指针存入R0 L3: MOV A,R4 ;列线扫描指针存入A MOV P1,A ;列线扫描输出 INC R4 ;扫描指针加1,指向下一列 MOV A,R0 ; 取码指针存入A MOV DPTR,#TABLE ;取数据表的上半部分的代码 MOVC A,@A+DPTR MOV P0,A ; 输出到P0 INC R0 ;取字型码指针加1,取下一个码。 MOV A,R0 MOV DPTR,#TABLE ;取数据表下半部份的代码 MOVC A,@A+DPTR MOV P2,A ;输出到P2口 INC R0 ;取字型码指针加1,取下一个码。 MOV R3,#02 ;扫描1毫秒 DELAY2:MOV R5,#248 DJNZ R5,$ DJNZ R3,DELAY2 MOV A,#00H ;清除屏幕 MOV P0,A ANL P2,#00H DJNZ R6,L3 ;一个字16个码是否完成? DJNZ R1,L16 ;每个字的停留时间是否到了? MOV 20H,R0 ;取码指针存入20H(静态显示) CJNE R0,#224,L100 ;7个字224个码是否完成? JMP LOOP ;反复循环 16×16滚动显示程序: ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面 MOV P0,A ;清除P0口

LED点阵显示屏实验报告

16?16点阵LED电子显示屏的设计 摘要:文章介绍了基于单片机AT89C51的16?16点阵LED电子显示屏的设计。分别阐述了显示屏显示的基本原理,硬件设计、控制方法及其程序的实现。经过调试和分析,设计的结果能够实现对汉字的静态和动态显示,动态显示的内容有多种方式,同时又可通过上位机更新显示的内容。 关键字:AT89C51;16?16点阵;LED;显示屏 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,显示花样较单一。一般在产品出厂时,显示内容就已写入显示屏控制系统中的EPROM芯片内,当需要更换显示内容时就非常困难,这样使该类型的显示屏使用范围受到了限制。国内的另一种LED显示屏——可编程序型LED显示屏,虽然增加了显示屏系统的编程能力,显示内容和显示花样都有所增加,但也存在着更换显示内容不便的缺点。随着社会经济的迅速发展,如今的广告牌都存在着显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。 2 LED显示屏控制技术状况 显示屏的控制系统包括输入接口电路、信号控制、转换和数字化处理电路及输出接口电路等,涉及的具体技术很多,其关键技术包括串行传输与并行传输技术、动态扫描与静态锁存技术、自动检测及远程控制技术等。

Proteus仿真1616LED点阵显示汉字.docx

例.利用Proteus仿真一块16×16LED点阵,并在其上循环显示汉字“郑州大学”。 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本例的显示任务。 首先,从Proteus元件库中找到“MATRIX-8X8-RED”元器件,并将四块该元器件放入Proteus文档区编辑窗口中。此时需要注意,如果该元器件保持初始的位置(没有转动方向),我们要首先将其左转90°,使其水平放置,那么此时它的左面8个引脚是其行线,右边8个引脚是其列线(当然,如果你是将右转,则右边8个引脚是行线)。然后我们将四个元器件对应的行线和列线分别进行连接,使每一条行线引脚接一行16个LED,列线也相同。并注意要将行线和列线引出一定长度的引脚,以便下面我们使用。 连接好的16×16点阵如下图所示: 连接成如上图的16×16点阵只是第一步,这样分开的数块并不能达到好的显示效果,下面我们要将其进一步组合。组合实际上很简单,首先选中如上图中右侧的两块8×8点阵,然后拖动并使其与左侧的两块相并拢,如下图所示: 我们可以看到原来的连线已经自动隐藏了,至于线上的交点,我们不要去动。然后,我们再来最后一步,选中下侧的两块点阵,并拖动使其与上侧的两块并拢,最后的效果如下图所示: 可以看到,原来杂乱的连线现在已经几乎全部隐藏了,一块16×16的LED点阵做成了。需要注意,做成的LED点阵的行线为左侧的16个引脚,下侧的16个引脚为其列线,而且其行线为高电平有效,列线为低电平有效。然后,我们将其保存,以便以后使用。 制作好16×16LED点阵,我们接下来来进行本例的实验。 由于本例的软件程序需要首先注意硬件连接,所以,我们首先来看一下本例的电路图。电路图中用到了74159集成芯片,其效用是将4位输入译为16输出(低电平有效),刚好满足我们的要求。电路图中的其他元器件我们在以前的仿真实例中都已介绍过,此处不再赘述。最终完成的电路图如下所示:

16X16点阵LED电子显示屏设计资料

单片机应用系统实验设计 16X16点阵LED电子显示屏设计 班级:物电学院电信2班 组员:吕勇军学号:201011020219 黄波201011020220 指导老师:彭建英 日期:2012年12月1号

第一章绪论 1.1 单片机的应用 单片机是生活必不可缺的,顾名思义单片机的应用是很广泛的,导弹的导航装置、飞机上各种仪表的控制、计算机的网络通讯和数据传输、工业自动化过程和实时控制数据处理、广泛使用的智能IC卡、民用豪华轿车的安全保障系统、录像机和摄像机、全自动洗衣机的控制、以及程控玩具、电子宠物等等。单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域。 1.2电子显示屏 随着现代光电技术、微电子技术及计算机技术的飞速发展和普及,LED显示屏已遍及社会的各个领域。简单的讲,显示屏就是由若干个可组合拼接的显示单元构成屏体,再加上一套适当的控制器。所以多种规格的显示板配合不同技术的控制器就可以组成许多种LED显示屏,以满足不同环境,不同显示要求的需要。LED显示屏是由几万到几十万个半导体发光二极管像素点均匀排列组成。利用不同的材料可以制造不同色彩的LED像素点。目前应用最广的是红色、绿色、黄色。而蓝色和纯绿色LED的开发已经达到了实用阶段。LED显示屏可以显示变化的数字、文字、图形图像;不仅可以用于室内环境还可以用于室外环境,具有投影仪、电视墙、液晶显示屏无法比拟的优点。LED显示屏的分类:按颜色可以分为单基色显示屏、双基色显示屏、全基色显示屏;按显示器分类LED数码显示屏、LED点阵图文显示屏;按实用场合分类有室内显示屏和室外显示屏。仔细分解一个LED显示屏,它有以下一些要素构成:金属结构框架、显示单元、扫描控制板、开关电源、双绞线传输电缆、主控制仪、专用显示卡及多媒体卡、电脑及其外设、其它信息源。

16x16点阵显示LED

开封大学 学生毕业设计 题目点阵式汉字电子显示屏设计 年级 11级专业电子信息工程技术 班级电子3班 学生姓名苗本朋起止时间 2013.11,4-2014,05.26指导教师肖兴达职称副教授 2014年 5 月 26 日

摘要 电子显示屏的应用范围越来越广泛,它作为一个重要的宣传平台,已经受到全社会的普遍认可。本课题以单片机为控制核心,通过8x8 LED电子显示屏及相关的外围电路,设计制作了一个16x16 点阵LED电子显示屏。 本文介绍了基于AT89C51单片机点阵显示屏的设计方案,阐述了16×16点阵LED 显示屏的设计原理与思路,详细叙述了系统硬件、软件设计的具体实现过程。论文重点阐述了显示模块及相关驱动模块等的模块化设计思路与制作方法。软件部分同样也采用模块化的设计思想,显示模块,并采用简单流通性强的汇编语言编程实现。系统能实现清晰的图文伴随左移出显示功能。在实际设计调试过程中,通过肉眼观察该显示屏显示的图文是否稳定、清晰无串扰,查找造成图文不清晰的根源,确定调整方案,尽可能的使显示图文与要求相符合。 关键词:单片机;LED显示屏

目录 1 引言 (3) 1.1 课题的背景 (3) 1.2 研究目的和意义 (4) 1.3 研究内容 (5) 2 系统方案论证 (5) 2.1 方案论证 (6) 2.2模块方案确定 (6) 2.2.1 电源模块 (6) 2.2.2 单片机控制模块 (6) 2.2.3 时钟信号电路 (6) 2.2.4 复位电路 (7) 2.2.5 显示驱动模块 (7) 3 系统硬件电路设计 (8) 3.1硬件电路设计 (8) 3.2各单元电路说明 (8) 3.2.1 单片机主控模块的设计 (8) 3.2.2 16X16点阵显示模块设计 (11) 3.2.3 驱动模块电路设计 (13) 3.2.4 电源电路设计 (15) 4 系统软件设计 (18) 4.1点阵显示原理 (18) 4.2系统程序流程图 (20) 4.3系统程序 (22) 5 单片机I/O口分配 (26) 6 结果分析及总结 (26) 6.1结果分析 (26) 6.2总结 (26) 参考文献 附录1:电路图 附录2:元件清单

LED点阵电子显示屏电路原理图

点阵式汉字LED显示屏电路原理图及单片机程序: 程序清单: ORG 00H LOOP: MOV A,#0FFH ;开机初始化,清除画面 MOV P0,A ;清除P0口 ANL P2,#00 ;清除P2口 MOV R2,#200 D100MS: MOV R3,#250 ;延时100毫秒 DJNZ R3,$ DJNZ R2,D100MS MOV 20H,#00H ;取码指针的初值 l100: MOV R1,#100 ;每个字的停留时间 L16: MOV R6,#16 ;每个字16个码 MOV R4,#00H ;扫描指针清零 MOV R0,20H ;取码指针存入R0 L3: MOV A,R4 ;扫描指针存入A MOV P1,A ;扫描输出 INC R4 ;扫描指针加1,扫描下一个 MOV A,R0 ;取码指针存入A MOV DPTR,#TABLE ;取数据表的上半部分的代码 MOVC A,@A+DPTR MOV P0,A ;输出到P0 INC R0 ;取码指针加1,取下一个码。 MOV A,R0 MOV DPTR,#TABLE ;取数据表下半部份的代码 MOVC A,@A+DPTR MOV P2,A ;输出到P2口 INC R0

MOV R3,#02 ;扫描1毫秒 DELAY2: MOV R5,#248 ; DJNZ R5,$ DJNZ R3,DELAY2 MOV A,#00H ;清除屏幕 MOV P0,A ANL P2,#00H DJNZ R6,L3 ;一个字16个码是否完成? DJNZ R1,L16 ;每个字的停留时间是否到了? MOV 20H,R0 ;取码指针存入20H CJNE R0,#0FFH,L100 ;8个字256个码是否完成? JMP LOOP ;反复循环 TABLE : ;汉字“倚”的代码 db 01H,00H,02H,00H,04H,00H,1FH,0FFH db 0E2H,00H,22H,00H,22H,0FCH,26H,88H db 2AH,88H,0F2H,88H,2AH,0FAH,26H,01H db 63H,0FEH,26H,00H,02H,00H,00H,00H 希望能帮你

16x96点阵屏设计

16 x 96LED点阵屏系统 现在LED点阵屏在社会上的应用非常广泛,它具有亮度高、发光均匀、可靠性好、接线简单、拼装方便等优点,能构成各种尺寸的显示屏,因此,它被广泛应用于大型LED智能显示屏、智能仪器仪表和机电一体化设备的显示屏中,取得了较好的效果。随着微电子技术、计算机技术及信息处理技术的发展,LED点阵显示屏正作为一种新的传媒工具,在越来越多的领域中发挥作用.如广告、金融、交通、文艺、商业、体育、工业、教学、军事、政府等几乎涉及到生活中的各个方面.大到几十平方的户外广告屏,小到电梯系统中用的显示屏或交通指示屏,许多企业及政府部门应用了电子黑板,证券、银行等部门也有信息数字混合屏,带来了广泛的社会效益及经济效益。 接下来讲解点阵屏的制作: 一、材料 8*8点阵屏模块X 24块 74HC595 X 12片 74LS138 X 2片 S8550 X 16个 STC89C52RC最小系统X 1 电源模块(5v)X1 8*8点阵模块: 显示单元(Display unit)由电路及安装结构确定的并具有显示功能的器件组成LED显示屏的最小单元,也叫点阵显示模块。 点阵显示屏是由上万个或几十万个LED发光二极管组成,每个发光二极管称为一个像素。为了取得良好的显示一致性并简化器件结构,八十年代以来出现了组合型LED点阵显示器,以发光二极管为像素,它用高亮度发光二极管芯阵列组合后,环氧树脂和塑模封装而成,即所谓的点阵模块。点阵模块具有高亮度、功耗低、引脚少、视角大、寿命长、耐湿、耐冷热、耐腐蚀等特点。按照颜色的不同分为单基色、双基色和三基色三类,可显示红、黄、绿、蓝、橙等颜色。按照点阵规模大小分有4×4、4×8、5×7、5×8、8×8、16×16、24×24、32×32、40×40等。按照像素的直径大小分有φ3、φ3.75、φ5、φ10、φ20等。 从内部结构可以看出8×8点阵共需要64个发光二极管,且每个发光二极管是放置在各行和列的交叉点上。当对应的某一列置高电平,另一列置低电平时,则在该行和列的交叉点上相应的二极管就亮。LED显示屏就是由若干个点阵模块组成的,它通过一定的控制方式,就可以显示文字、文本、图形、图像、动画等各种信息,以及电视、录像信号。 二、方案设计 实现的方案很多,这里采用EA改进的方案,以适应EA的小产品。于是使用LED点阵显示信息,控制电路采用数字电路控制,实现简单方便。

相关主题
文本预览
相关文档 最新文档