大学电子技术综合设计四 数字频率计
- 格式:doc
- 大小:860.00 KB
- 文档页数:6
4位数字频率计一、实验內容用PLD设计一4位数字频率计,测量范围为0-9999Hz,设被测信号为方波,幅值已知足要求。
二、数字频率计的设计1.工作原理:数字频率计的原理框图如下图所示。
当闸门信号(宽度为1S的正脉冲)到来时,闸门开通,被测信号通过闸门送到计数器,计数器开始计数,当闸门信号结束时,计数器停止计数。
由于闸门开通时刻为1S,计数器的计数值就是被测信号频率。
为了使测得的频率值准确,在闸门开通之前,计数器必需清零。
为了使显示电路稳固地显示频率值,在计数器和显示电路之间加了锁存器,当计数器计数结束时,将计数值通过锁存信号送到锁存器。
控制电路在时基电路的控制下产生三个信号:闸门信号、锁存信号和清零信号。
2.数字频率计原理图:(上图:原理框图;下图:原理图)3.CNT10、CNT1二、CODE、LOCK模块的VHDL语言程序;――――――――――――――CNT10SRC――――――――――――――library ieee;use cnt10 isport(clk: in std_logic;clr: in std_logic;cs: in std_logic;qq: buffer std_logic_vector(3 downto 0);co: out std_logic);end cnt10;architecture one of cnt10 isbeginprocess(clk, clr, cs)beginif (clr = '1') then qq <= "0000";elsif (clk'event and clk = '1') thenif (cs = '1') thenif (qq = 9) then qq <= "0000";else qq <= qq + 1;end if;end if;end if;end process;process(qq)beginif (qq = 9) then co <= '0';else co <= '1';end if;end process;end one;――――――――――――――CNT12SRC――――――――――――――library ieee;use cnt12 isport(clk: in std_logic;qq: buffer std_logic_vector(3 downto 0));end cnt12;architecture one of cnt12 isbeginprocess(clk)beginif (clk'event and clk='1') thenif (qq = 11) then qq <= "0000";else qq <= qq + 1;end if;end if;end process;end one;――――――――――――――CODE SRC――――――――――――――library ieee;use code isport(dd: in std_logic_vector(3 downto 0);cs: out std_logic;clr: out std_logic;lock: out std_logic);end code;architecture one of code isbeginprocess(dd)beginif (dd = 0) then clr <= '1';else clr <= '0';end if;if (dd = 11) then lock <= '1';else lock <= '0';end if;if ((dd > 0) and (dd < 9)) then cs <= '1';else cs <= '0';end if;end process;end one;――――――――――――――LOCK SRC ――――――――――――――library ieee;use lock isport(clk: in std_logic;dd: in std_logic_vector(3 downto 0);qq: out std_logic_vector(3 downto 0));end lock;architecture one of lock isbeginprocess(clk, dd)beginif (clk'event and clk = '1') then qq <= dd;end if;end process;end one;4.CNT10、CNT1二、CODE、LOCK模块的仿真结果;(附原始记录)―――――――――――――――CNT10仿真结果―――――――――――――――――――――――――――――CNT12仿真结果―――――――――――――――――――――――――――――CODE仿真结果――――――――――――――――――――――――――――――LOCK仿真结果―――――――――――――――5.项目下载步骤;(1)器件选定选择器件为MAX7000S系列的EPM7128SLC84(2)管脚锁定(说明为何要进行管脚锁定)所谓管脚锁定,就是将加法器adder+gdf的输入,输出信号安排在器件的指定管脚上.在实际应用中,PLD器件常常与其他电路连在一路,因此PLD器件的管脚锁定应按如实际电信号名管脚号信号名管脚号信号名管脚号信号名管脚号LED10 64 LED10 73 LED10 4 LED10 12 LED11 65 LED11 75 LED11 6 LED11 15 LED12 67 LED12 76 LED12 5 LED12 16 LED13 68 LED13 77 LED13 8 LED13 17 LED14 69 LED14 79 LED14 9 LED14 18 LED15 70 LED15 80 LED15 10 LED15 20 LED16 74 LED16 81 LED16 11 LED16 21 CLKIN 33 CLKI 35利用ByteBlaster下载电缆把数字频率计项目以JATG方式下载到EDA实验板的EPM7128SLC84器件中.在编程进程中,若器件或电缆或电源有问题,则会产生错误警告信息.(4)频率测量在CLKO输入端加上不同的时钟信号,按照数字频率计上的显示植,观察测得的频率是不是准确三、选做部份:4位乘法器的设计1.乘法控制器的的设计(1)控制器的ASM图:(2)控制器的VHDL语言程序:END mulcon;ARCHITECTURE one OF mulcon ISSIGNAL current_state,next_state:BIT_VECTOR(1 DOWNTO 0);CONSTANT s0:BIT_VECTOR(1 DOWNTO 0):="00";CONSTANT s1:BIT_VECTOR(1 DOWNTO 0):="01";CONSTANT s2:BIT_VECTOR(1 DOWNTO 0):="10";CONSTANT s3:BIT_VECTOR(1 DOWNTO 0):="11";BEGINcom1:PROCESS(current_sTA TE,start,i4)BEGINCASE current_state ISWHEN s0=>IF(start='1')THEN next_state<=S1;ELSE next_state<=s0;END IF;WHEN s1=>next_state<=s2;WHEN s2=>next_state<=s3;WHEN s3=>IF(i4='1')THEN next_state<=s0;ELSE next_state<=s2;END IF;END CASE;END PROCESS com1;com2:PROCESS(current_state,bi)BEGINCASE current_state ISWHEN s0=>endd<='1';clr<='1';ca<='0';cb1<='0';cb0<='0';cm1<='0';cm0<='0';cc<='0';WHEN s1=>endd<='0';clr<='0';ca<='1';cb1<='1';cb0<='1';cm1<='0';cm0<='0';cc<='0';WHEN s2=>IF(bi='1')THEN endd<='0'; clr<='1';ca<='0';cb1<='0';cb0<='0';cm1<='1';cm0<='1';cc<='1';ELSE endd<='0'; clr<='1';ca<='0';cb1<='0';cb0<='0';cm1<='0';cm0<='0';cc<='1';END IF;WHEN s3=>endd<='0';clr<='1';ca<='0';cb1<='0';cb0<='1';cm1<='0';cm0<='1';cc<='0';END CASE;END PROCESS com2;reg:PROCESS(clk)BEGINIF clk='1' AND clk'EVENT THENcurrent_state<=next_state;END IF;END PROCESS reg;END;(3)控制器的仿真时序图(附原始记录)2.顶层原理图3.顶层原理图仿真结果(附原始记录)四、实验体会;(实验中碰到什么问题?如何解决?)。
电子技术设计报告频率计引言频率计是一种广泛使用的电子设备,它可以测量电子设备中信号的频率。
频率计广泛应用于各种领域,包括无线通信、音频、雷达、测量和控制等领域。
本文将介绍一种电子技术设计报告频率计,包括其原理、设计步骤、测试和评估。
原理频率计的基本原理是计算输入信号的周期,然后通过周期计算频率。
为了计算周期,频率计使用一个计数器,并将其与输入信号同步。
当输入信号的一个完整周期结束时,计数器将计数器加1。
通过频率计算器和计算时间,可以计算出输入信号的频率。
设计步骤1. 选择信号源:频率计需要一个信号源,该信号源可以是一个放大器、一个信号发生器或一个电路板的特定部分。
选择的信号源应该产生一个稳定的、固定频率的信号。
2. 选择计数器:根据所测量的频率范围选择计数器类型。
如果需要测量高频,可以选择快速计数器,而对于低频测量,则应选择慢速计数器。
3. 选择计数器时基:选择计数器的时基可以是信号源的参考时钟、一个晶体时钟或一个精密时基。
4. 选择显示器:频率计需要一个显示器来显示测量结果。
可以选择数字或模拟显示器,也可以选择通过计算机软件实现的图形显示器。
5. 设计频率计电路:根据选择的组件和设计要求,设计频率计电路。
6. 构建电路:将设计好的电路板组装到一个适当的机箱中,并进行初始测试。
确保电路板工作正常,并且测量结果准确。
测试和评估1. 实际测量:使用测量仪器测量信号源的频率,并将其与频率计测量的结果进行比较。
确保频率计的测量误差在合理范围内。
2. 稳定性测试:通过让信号源的频率变化来测试频率计的稳定性。
确保频率计以稳定和准确的方式测量变化的频率。
3. 精度测试:使用一个校准信号源来测试频率计的精度。
确保频率计测量的频率与校准信号源产生的频率误差在合理范围内。
总结本文介绍了一种电子技术设计报告频率计,包括其原理、设计步骤、测试和评估。
频率计是一种广泛使用的电子设备,用于测量电子设备中信号的频率。
通过选择适当的信号源、计数器和显示器以及设计频率计电路,可以构建一个稳定准确的频率计。
数字逻辑电路大型实验报告姓名指导教师专业班级学院信息工程学院提交日期1一、实验目的学习用FPGA实现数字系统的方法二、实验内容1.FPGA, Quartus II 和VHDL使用练习2.四位数字频率计的设计三、四位数字频率计的设计1.工作原理当系统正常工作时,8Hz信号测频控制信号发生器进行信号的变换,产生计数信号,被测信号通过信号整形电路产生同频率的矩形波,送入计数模块,计数模块对输入的矩形波进行计数,将计数结果送入锁存器中,保证系统可以稳定显示数据,显示译码驱动电路将二进制表示的计数结果转换成相应的能够在七段数码显示管上可以显示的十进制结果。
在数码显示管上可以看到计数结果。
工作原理图如下:2.设计方案21) 整形电路:整形电路是将待测信号整形变成计数器所要求的脉冲信号2)控制信号产生器(分频电路):用8Hz时钟信号产生1Hz时钟信号、锁存器信号和cs信号3)计时器:采用级联的方式表示4位数4)锁存器:计数结束后的结果在锁存信号控制下锁存5)译码器:将锁存的计数结果转换为七段显示码3.顶层原理图(总图)3注:①CLK1:8Hz时钟信号输入; CLKIN:待测信号输入;②显像时自左而右分别是个位、十位、百位、千位;③顶层原理图中:(1)consignal模块:为频率计的控制器,产生满足时序要求的三个控制信号;(2)cnt10模块:有四个,组成四位十进制(0000-1001)计数器,使计数器可以从0计数到9999;(3)lock模块:有四个,锁存计数结果;(4)decoder模块:有四个,将8421BCD码的锁存结果转换为七段显示码。
4.底层4个模块(控制信号产生模块,十进制计数器模块,锁存器模块,译码模块)的仿真结果。
cnt10模块(十进制计数器模块):输入:CLK:待测量的频率信号(时钟信号模拟);CLR:清零信号,当clr=1时计数器清零,输出始终为0000,只有当clr=0时,计数器才正常计数CS:闸门信号,当cs=1时接收clk计数,当cs=0时,不接收clk,输出为0;输出:4co:进位信号,图中,在1001(9)的上方产生一个进位信号0,其余为1。
一课程设计题目:数字频率计的设计二、功能要求(1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。
(2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ(3)周期范围:1ms~1s。
(4)用3个发光二极管表示单位,分别对应3个高档位。
三频率计设计原理框图正弦波数字频率计原理框图1测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。
改闸门信号控制闸门电路的导通与开断。
让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。
测量频率的误差与闸门信号的精度直接相关。
被测信号频率测量算法对应的方框图四、各部分电路及仿真1 整形电路部分整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。
整形电路可以直接用555定时器构成施密特触发。
本次设计采用555定时器,适当连接若干个电阻就可以构成触发器图1-1 整形电路将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到显示电路闸门产生输入电路闸门计数电路施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。
但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。
2 时基电路时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。
设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。
4位十进制频率计设计频率计是一种用来测量信号频率的仪器或设备,它广泛应用于电子、通信、无线电、音频和视频等领域。
本文将介绍一种设计思路,用于实现一个4位十进制频率计。
==设计思路==为了设计一个能够测量高精度频率的4位十进制频率计,我们需要考虑以下几个关键因素:1.输入范围:频率计应该能够适应不同频率范围的输入信号,从几赫兹到几百兆赫兹。
为了实现这一点,我们可以选择一个高性能的计数器和适当的预分频器。
2.分辨率:分辨率是指频率计能够测量的最小变化量。
较高的分辨率可以提供更精确的测量结果。
为了实现较高的分辨率,我们可以选择一个高位数的计数器以及适当的预分频器。
3.显示和输出:频率计应该能够以易读的方式显示测量结果,并可以通过输出接口连接到其他设备或系统。
基于以上要求,我们可以采用以下设计思路来实现4位十进制频率计。
1.时钟源:选择一个高稳定性的晶振作为频率计的时钟源。
晶振的稳定性对于频率计的测量精度非常重要。
2.频率测量:我们可以使用计数器和预分频器的组合来测量输入信号的频率。
计数器将输入信号进行计数,并以预定的时间间隔将计数器的值读取到显示寄存器中。
a.计数器:选择一个具有足够位数的计数器,以提供较高的分辨率。
例如,采用16位或者更高位的计数器,可以提供较高的计数能力和分辨率。
b.预分频器:使用预分频器将输入信号的频率降低到易于计数器处理的范围内。
预分频器的选择要根据输入信号的频率范围来确定。
比如,对于输入信号频率范围为几赫兹到几百兆赫兹,我们可以选择一个可设置不同分频系数的预分频器。
c.计数时间:选择一个适当的计数时间来保证测量结果的准确性。
较短的计数时间会降低分辨率,较长的计数时间会增加测量误差。
通过权衡这两者,我们可以设定一个合适的计数时间。
3.显示和输出:在实现频率计的显示和输出功能时,我们可以采用以下几种方式:a.LED数码管:选择一个4位数码管显示器来显示频率测量结果。
设计一个驱动电路,将显示寄存器中的计数值转换为相应的数码管显示。
湖北民族学院信息工程学院课程设计报告书题目:四位数字频率计的设计课程:电子线路课程设计专业:电气工程及其自动化班级:学号:学生姓名:指导教师:2010年 12 月 15 日信息工程学院课程设计任务书学号学生姓名专业(班级)设计题目四位数字频率计的设计设计技术参数 1、频率准确度一般用相对误差来表示,即⎪⎪⎪⎭⎫⎝⎛∆+±=∆fffffccxxT1x式中,NNNTX11f±+∆=为量化误差,是数字仪器所特有的误差。
当闸门时间T选定后,f x越低,量化误差越大;TTf∆=∆f c c为闸门时间相对误差,主要由时基电路标准频率的准确度决定,fffXcT1c<<∆。
2、频率测量范围在输入电压符合规定要求值时,能够正常进行测量饿频率区间称为频率测量范围。
频率测量范围主要由放大整形电路的频率响应决定。
3、数字显示位数频率计的数字显示位数决定频率计的分辨率。
位数越多,分辨率越高。
4、测量时间频率计完成一次测量所需要的时间,包括准备、计数、锁存和复位时间。
设计要求①拟定数字频率计的组成框图,设计安装各单元电路,要求布线整齐美观,便于级联。
②按照给定的技术指标,检测数字频率计是否满足要求。
③画出数字频率计的整机逻辑电路图。
④写出设计性实验报告。
参考资料【1】谢自美,罗杰,赵云娣,杨小献. 电子线路设计.实验.测试(第三版)[M]. 武汉:华中科技大学出版社,2006【2】高志勇. 数字频率计的设计[D]. 石家庄: 河北科技大学信息学院, 2009【3】田良,黄正瑾.综合电子设计与实践[M].南京:东南大学出版社,2002.【4】李祥臣.模拟电子基础教程[M].北京:清华大学出版社,2005.【5】张永瑞.电子测量技术基础[M].西安:西安电子科技大学出版社,2002.2010年 12 月 15 日信息工程系课程设计成绩评定表学生姓名:谭佐兵学号:030840521 专业(班级):0308405课程设计题目:四位数字频率计的设计成绩:指导教师:年月日文章编号:四位数字频率计的设计谭佐兵(湖北民族学院信息工程学院湖北恩施 445000)【摘要】数字频率计是直接用十进制数字显示被测信号频率的一种测量装置。
实验报告学生:学院:专业:学号:指导老师:目录一、实验名称: (3)二、实验目的: (3)三、实验任务: (3)四、实验原理: (3)1.分频器: (4)①功能 (4)②实现: (4)2.闸门选择 (5)①功能 (5)②实现 (5)3.门控电路: (6)①功能: (6)②实现 (7)4.计数器: (8)①功能 (8)②实现 (8)5.锁存器: (9)①功能 (9)②实现 (9)6.扫面显示 (9)①功能 (9)②实现 (9)7.top顶层文件 (10)①功能: (10)②实现: (10)8.管脚的配置: (11)六、误差分析: (13)1. 原因 (13)2.减小误差 (13)七、实验结论: (14)八、程序附录: (14)1.分频器: (14)2.闸门选择: (15)3.门控电路: (16)4.计数器: (17)5.锁存器: (19)6.扫面显示: (20)7.top程序: (21)一、实验名称:基于FPGA的数字频率计的设计二、实验目的:学习VHDL语言并使用它完成频率计的设计,使学生不断的加深对VHDL描述语言的掌握,以及不断总结由软件来实现硬件的特点,学会程序与芯片的对接,为以后的工作和更进一步的学习学习打好基础。
三、实验任务:基于FPGA采用硬件描述语言VHDL,在软件开发平台ISE上设计出一个数字频率计,使用ModelSim仿真软件对VHDL程序做仿真并下载到芯片完成实际测量。
要求:其频率测量范围为10Hz~10MHz,测量结果用6只数码管显示。
有三个带锁按键开关(任何时候都只能有一个被按下)被用来选择1S、0.1S和0.01S三个闸门时间中的一个。
有两只LED,一只用来显示闸门的开与闭,另一只当计数器溢出时做溢出指示。
四、实验原理:频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟(本实验采用50MHz的石英振荡器作为基准时钟),对比测量其他信号的频率。
通常情况下计数每秒内待测信号的脉冲个数,此时我们称闸门时间为1S,闸门时间也可以大于或小于1S。
数字频率计设计1. 设计任务设计一简易数字频率计,其基本要求是:1)测量频率范围1Hz~10Hz ,量程分为4档,即×1,×10,×100,×1000。
2)频率测量准确度3102-⨯±≤∆x xf f .3)被测信号可以是下弦波、三角波和方波。
4)显示方式为4位十进制数显示。
5)使用EWB 进行仿真。
2. 设计原理及方案频率的定义是单位时间(1s )内周期信号的变化次数。
若在一定时间间隔T 内测得周期信号的重复变化次数为N ,则其频率为f=N/T据此,设计方案框图如图1所示。
图1 数字频率计组成框图其基本原理是,被测信号u x 首先经整形电路变成计数器所要求的脉冲信号,频率与被测信号的频率f x 相同。
时钟电路产生时间基准信号,分频后控制计数与保持状态。
当其高电平时,计数器计数;低电平时,计数器处于保持状态,数据送入锁存器进行锁存显示。
然后对计数器清零,准备下一次计数。
其波形逻辑关系图如图2所示。
3. 基本电路设计1)整形电路整形电路是将待测信号整形变成计数器所要求的脉冲信号。
电路形式采用由555定时器所构成的施密特触发器,电路如图XXX 所示。
若待测信号为三角波,输入整形电路,设置分析为瞬态分析,启动电路,其输入、输出波形如图XXX 所示。
可见输出为方波,二者频率相同。
2)时钟产生电路时钟信号是控制计数器计数的标准时间信号,其精度很大程度上决定了频率计的频率测量精度。
当要求频率测量精度较高时,应使用晶体振荡器通过分频获得。
在此频率计中,时钟信号采用555定时器构成的多谐振荡器电路,产生频率为1Kz 的信号,然后再进行分频。
多谐振荡器电路如图XXX 所示。
由555定时器构成多谐振荡器的周期计算公式为XXXXXXXXXX取XXXXXXXXXXXXXX ,则得到振荡频率为1Kz 的负脉冲,其振荡波形如图XXX 所示。
3)分频器电路采用计数器构成分频电路,对1Kz的时钟脉冲进行分频,取得不同量程所需要的时间基准信号,实现量程控制。
现代电子技术综合实验数字频率计设计实验报告姓名:学号:、系统总体设计设计要求1、被测输入信号:方波2、测试频率范围为:10Hz〜1MHz 扩展1MHz〜100MHz3、量程分为三档:第一档:闸门时间为1S时,最大读数为999.999KHZ第二档:闸门时间为0.1S时,最大读数为9999.99KHz第三档:闸门时间为0.01S时,最大读数为99999.9KHz。
4、显示工作方式:a用六位BCD七段数码管显示读数。
b、采用记忆显示方法c、实现对高位无意义零的消隐。
系统工作原理信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T ,其中f 为被测信号的频率,N为技术其所累计的脉冲个数,T为产生N个脉冲所需的时间。
技术其所记录的结果,就是被测信号的频率。
如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。
测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。
1 、计数法计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。
改变时间T,贝【J可改变测量频率范围。
如图所示。
计数法测量原理设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N仁N+1,N1的相对误差为A N仁(N1-N)/N=1/N。
由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。
因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。
当T为某确定值时(通常取1s),则有f仁N1, 而f=N,故有fl的相对误差:A f1=(f1-f)/f=1/f从上式可知fl的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,贝V测量误差越大。
因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。
2、计时法计时法又称为测周期法,测周期法使用被测信号来控制闸门的开闭,而将标准时基脉冲通过闸门加到计数器,闸门在外信号的一个周期内打开,这样计数器得到的计数值就是标准时基脉冲外信号的周期值,然后求周期值的倒数,就得到所测频率值。
摘要在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。
测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。
电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。
直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。
本文阐述了用数字电路设计了一个简单的数字频率计的过程关键词:周期;数字频率计;波形仿真;目录一、课程设计目的 (2)二、设计任务与要求 (2)三、方案设计与论证 (3)四、单元电路设计与参数计算 (8)五、电路的安装与调试 (10)六、遇到问题的解决方法 (11)七、结论与心得 (11)八、参考文献 (12)数字频率计一、课程设计目的1)巩固和加深对或电子技术课程基本知识的理解,提高综合运用所学知识的能力。
2)提高独立解决工程实际问题的能力。
培养根据课题需要选用参考书、查阅手册、图表和文献资料的能力。
3)通过设计方案的分析比较、设计计算、元件选择及电路安装调试等环节,初步掌握简单实用电路的工程设计方法。
4)提高动手能力。
掌握常用仪器设备的正确使用方法,学会对简单实用电路的实验调试和对整机指标的测试方法。
5)能按课程设计任务书的要求编写设计说明书。
了解与课题有关的电路以及元器件的工程技术规范,能正确反映设计和实验成果,能正确绘制电路固等。
6)培养严肃认真的工作作风和科学态度。
通过课程设计实践,逐步建立正确的生产观点,经济观点,全局观点和安全用电、节约用电的观点。
二、设计任务与要求任务:设计并实现一个可以测量待测信号频率的数字显示的仪器要求和指标:1.测量范围 1Hz ~ 10kHz;2.分辨率1Hz;3.灵敏度 500mV;4.误差不大于 10-3。
三、方案设计与论证1、数字频率计的基本原理:频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。
综合设计四 数字频率计一、设计任务设计一台简易的数字频率计,其技术指标和要求:1、频率测量范围:1~10HZ KHZ ,10~100HZ KHZ ,100~1HZ MHZ ;2、频率准确度:3210xxf f -∆≤±⨯ ; 3、被测信号幅度:0.2~5xm V V V =(正弦波、三角波、方波);4、显示及工作方式:4位十进制数显示,小数点自动定位,单位指示灯自动显示。
5、画出数字频率计的整体设计电路图,并完成电路的调试。
6、写出实验报告和体会。
二、设计提示及参考电路所谓频率,就是周期性信号在单位时间(1S )内变化的次数。
若在一定时间间隔T 内侧的这个周期性信号的重复变化次数为N ,则其频率可表示为:NTf =频率准确度:一般用相对误差来表示,即: 1x xx f f f T f f c c ⎛⎫∆∆=±+ ⎪ ⎪⎝⎭ 式中的11x N Tf N N∆±==为量化误差(即±1个字的误差),是数字仪器所特有的误差。
当闸门时间T 选定之后,x f 越低,量化误差就越大。
f Tf Tc c ∆∆=为闸门时间相对误差,主要有时基电路标准频率的准确度决定,1xf f Tf c c ∆<<。
1、数字频率计测频的基本原理数字频率计的组成框图见图4-1所示。
当被测信号x U 经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号x f 相同。
时基电路提供标准时间基准信号Ⅱ,其高电平持续时间1t S =,当1S 信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1S 信号结束时闸门关闭,停止计数。
若在闸门时间1S 内计数器计得的脉冲个数为N ,则被测信号频率()x f N Hz =。
逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生清零脉冲Ⅴ,使计数器每次测量从0开始计数。
各信号之间的时序关系见图4-2。
2、基本电路设计(1)放大整形电路:是由晶体管和与非门等器件组成,其中3DG100组成放大器将输入频率为x f 的周期信号如正弦波、三角波等进行放大。
与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形波。
图4-1 数字频率计的组成框图 图4-2 各点信号的波形图(2)时基电路:它的作用是产生一个标准时间信号(高电平持续时间为1S )。
可由555定时器构成的多谐振荡器产生或用晶振分频后获得。
若振荡器的频率1201/()0.8f t t Hz =+=,则振荡器的输出波形如图4-2的Ⅱ所示,其中121,0.25t S t S ==。
由公司1120.7()t R R C =+,220.7t R C =可计算出电阻及电容的值。
例:若取电容10C F μ=,则:22/0.735.7R t C K ==Ω 取236R K =Ω112(/0.7)107R t C R K =-=Ω 取147R K =Ω,100RP K =ΩTxⅠⅡⅢⅣⅤ(3)逻辑控制电路:在时基信号Ⅱ结束时产生的负跳变用来产生锁存信号Ⅳ,所存信号Ⅳ的负跳变油用来产生清零信号Ⅴ。
脉冲信号Ⅳ和Ⅴ可有两个单稳态触发器74LS123 产生,它们的脉冲宽度由电路的时间常数决定。
设锁存信号Ⅳ和清零信号Ⅴ的脉冲宽度W t 相同,如果要求:0.02W t S =,则:0.450.02W ext ext t R C S ==若取10ext R K =Ω,则:/0.45 4.4W ext ext C t R F μ== 可取4.7F μ电容 由74LS123的功能表可知,当1RD=1,B=1,触发脉冲从1A 端输入时,在触发脉冲的负跳变作用下,输出端1Q 可获得一正脉冲,1Q 端可获得一负脉冲,其波形关系正好满足图4-2中波形Ⅳ和Ⅴ的要求。
手动复位开关S 按下时计数器清零。
(4)锁存器:其作用是将计数器在1S 结束时所计得的数进行锁存,使显示器上能稳定的显示此时计数器的值。
1S 计数时间结束时,逻辑控制电路发出锁存信号Ⅳ,将此时计数器的值送到译码显示器。
选用8D 锁存器74LS273可以完成上述功能。
当时钟脉冲CP 的正跳变来到时,锁存器的输出等于输入,即Q=D 。
从而将计数器的输出值送到锁存器的输出端。
正脉冲结束后,无论D 为何值,输出端Q 的状态仍保持原来的状态Qn 不变。
所以在计数期间内,计数器的输出不会送到译码显示器。
3、数字频率计测周期的基本原理当被测信号的频率较低时,采用直接测频方法测量时,由量化误差引起的测频误差太大,为了提高测低频时的准确度,应先测周期x T ,然后计算1/x x f T =。
数字频率计测周期的原理框图见图4-3所示。
被测信号经放大整形电路变成方波,加到门控电路产生闸门信号,如10x T mS =,则闸门打开的时间也为10mS ,在此期间内,周期为x T 的标准脉冲通过闸门进入计数器计数。
若1x T S μ=,则计数器计得的脉冲数/10000x s N T T ==。
若以毫秒(mS )为单位,则显示器上的读数为10.000。
由以上分析可见,频率计测周期的基本原理正好与测频相反,即被测信号用来控制闸门电路的开通与关闭,标准时基信号作为计数脉冲。
图4-3 数字频率计测周期的基本原理图4、由ICM7216D 构成的单片数字频率计的设计ICM7216D 为大规模集成电路,它把计数、锁存、译码、位和段驱动、量程及小数点选择等电路集成在一片芯片上,只需外接少量元器件就可构成频率范围为10MHZ 的数字频率计。
是目前应用更为先进的芯片。
(1) ICM7216D 的引脚功能ICM7216D 的引脚功能见图4-4所示。
图中控制输入端有五种工作模式,例如,将引脚1和3短接后,为允许外时钟输入模式。
工作状态端在采样其内输入低电平。
18~D D 为位 控制端,ICM7216D 以500Z H 频率依次对81~D D 扫描,当某一位控制端呈低电平时,该位在显示器上才能显示。
引脚12复位端接低电平时,计数器、显示器被清零。
引脚13位外部小数点输入端,当引脚13悬空时,随着量程的改变,小数点位置能自动移位。
~a g为段输出驱动端,DP 为小数点输出端,均为高电平有效,它与18~D D 的位扫描信号作同步输入,因此可自动显示小数点的位置。
图4-4 ICM7216D 的引脚功能(2)单片数字频率计的电路工作原理由ICM7216D 构成的10Z MH 频率计电路见图4-5所示。
它采用+5V 单电源供电。
高精度晶振和1C 、2C 、3R 构成10Z MH 并联振荡电路,以产生时间基准频率信号,经内部分频后产生闸门时间。
被测频率从引脚28输入。
开关15~S S 选择不同的工作模式,例如,当4S 接通时,将引脚1与引脚6连接为数据保持状态,但LED 消隐。
当5S 接通时,全部数码管显示8,小数点也都亮。
15~S S 下面分别串接一只隔离二极管,防止开关接通时短路。
6S 为量程选择开关,将引脚14分别与1D 、2D 、3D 、4D 连接,可依次获得0.01S 、0.1S 、1S 和10S 的闸门时间。
1SB 市复位清零按钮。
2SB 是读数保持按钮,其功能与开关4S 不同,当2SB 按下时计数器复零,但锁存器内部原有的数据被保存并显示出来。
图中1R 和3C 用来减小噪声,3R 给内部振荡电路提供直流偏置,2C 用来微调振荡频率,2R 是下拉电阻,使保持端在常态下呈低电平。
亦可在DP 端与8D 端之间外接一发光二极管做过载指示。
ICM7216D 要求输入信号的高电平iH V ≥3.5V ,低电平iL V ≤1.9V ,脉宽大于50n s ,所以实际应用中,需根据具体情况增加一些辅助电路。
如用场效应管源极跟随器作输入缓冲极,后面再加一级宽带电压放大器、整形器、电平转换器,将被测信号变成标准的数字信号在输入28引脚。
图4-5 由ICM7216D 构成的10MHZ 数字频率计电路图四、调试及报告要求1、接通电源后,用双踪示波器观察时基电路的输出波形(用DC 档),应如图4-2所示的波形Ⅱ,其中:121,0.25S S t t ==,否则重新调解时基电路中的1R 和2R 的值,使其满足要求。
然后改变示波器的扫描速率旋钮,观察74LS123的13引脚和12引脚的波形,应有图4-2所示的锁存脉冲Ⅳ和清零脉冲Ⅴ的波形。
2、将4片计数器74LS90 的2引脚全部接低电平,锁存器74LS273 的11引脚都接时钟脉冲,在个位计数器的14引脚加入计数脉冲,检查4位锁存、译码、显示器的工作是否正常。
3、在放大电路输入端加入 1,1Z p p f KH V V -==的正弦信号,用示波器观察放大电路和整形电路的输出波形,应为与被测信号同频率的脉冲波,显示器上的读数应为1000Z H 。
4、实验报告要求:(1)、绘出数字频率计的整机逻辑电路图以及器件安装、布线图; (2)、按照给定的技术指标阐明组装、调试,最后达到要求的步骤; (3)、说明调试过程中遇到的问题和解决的方法; (4)、设计、组装、调试的心得体会。
五、思考题1、数字频率计中,逻辑控制电路有何作用?如果不用集成电路单稳态触发器,是否可用其它器件或电路来完成逻辑控制功能?画出设计的逻辑控制电路。
2、若被测信号频率增加到数百千赫兹或数兆赫兹,则需要增加频率范围扩展电路,怎样实现频率量程的自动转换,并设计其电路。