当前位置:文档之家› 数字逻辑深刻复习提纲

数字逻辑深刻复习提纲

数字逻辑深刻复习提纲
数字逻辑深刻复习提纲

数字逻辑基础复习提纲

⒈数制与码制

数字系统中常用的数制及其互换、符号数表示、数字与字符编码。

2. 逻辑代数基础

逻辑代数的基本定理及规则,用逻辑代数及卡诺图化简逻辑函数的方法与技巧。

3. 组合逻辑电路

门电路符号及外部特性

4. 同步时序电路

同步时序电路的特点,触发器及其互换,Mealy 型和Moore型的状态图与状态表,同步时序电路分析与设计的方法。

5. 异步时序电路

异步时序电路的特点与模型,脉冲异步时序电路分析与设计的方法。电平异步时序电路分析与设计的方法。

6. 中、大规模集成电路及其应用

加法器、译码器、编码器、多路选择器、多路分配器、计数器和寄存器等常用集成电路的符号、功能表及使用方法及综合应用。

一、课程的教学基本要求

1.数制与码制

要求学生熟悉常用的几种进位计数制(2,8,10,16进制),以及这几种数制的相互转换。数字系统数值数据的表示,重点是符号整数的定点数(原码、反码及补码)表示。数字和字符的编码。

2.逻辑代数基础

要求学生熟悉并掌握逻辑代数基本定理及规则,标准积之和表达式与最小项,标准和之积表达式与最大项。熟悉并能应用逻辑代数和卡诺图分析和化简逻辑表达式。

3.组合逻辑电路分析与设计

要求学生熟悉并掌握组合逻辑电路的分析和设计的方法;单输出与多输出组合逻辑电路设计方法的异同;组合逻辑险象的判断与消除。要求做门电路及组合逻辑电路实验。4.同步时序电路分析与设计

要求学生熟悉并掌握同步时序逻辑电路的分析和设计的方法;Mealy型与Moore型时序电路的状态图与状态表;常用的几种触发器及其互换。要求做触发器及同步时序逻辑电路实验。

5.异步时序逻辑电路分析与设计

要求学生熟悉并掌握脉冲异步时序逻辑电路与点平异步时序电路的分析和设计的方法;电平异步时序电路的竞争与险象。要求做异步时序逻辑电路实验。

6.中规模集成电路应用

要求学生熟悉并掌握常用的几种中规模集成电路;能够用它们设计组和逻辑电路和时序电路,并具有综合设计的能力。要求做常用中规模集成电路及其应用逻辑电路实验。

第一章逻辑代数基础

§1-1 概述

一、数字量和模拟量

数字量:时间上、数量上离散的物理量。表示数字量的信号为数字信号。

二、数制和码制

1. 数制:多位数码中每一位的构成方法以及从低位到高位的进位规则。

如二进制、八进制、10进制(每一位0-9个数码,逢十进一)、16进制等。

2.码制:如BCD 码、循环码等。 一个代码表示一个二进制数—-称为二进制代码。 三个二进制代码――八进制

四个二进制代码――10进制、16进制

∑=N k i

i D ( N :基数; k

i

:第i位系数;N

i

:第i位的权)

3.数制间的转换:主要是二――10进制、二――16进制。

§1-2逻辑代数中的三种基本运算 与:

Y=A·B 与门

或:

Y=A+B 或门

非:

Y=A 非门

用这些门如何形成与非门,与或非门、或非门、异或门、同或门等。

§1-3逻辑代数的基本公式和常用公式 一、基本公式

了解:1.变量与变量之间的运算规则。 2.同一变量的运算规律――重叠率。

3.变量和它的反变量之间的运算规律――互补率。

4.交换率、互补率、结合率等。

5.德·摩根定理。 二、常用公式

§1-4逻辑代数的基本定理 一、代入定理

若C B A C B A C B A B A B A ??=+?=++?=+)(则 二、反演定理

若:对逻辑式Y,所有的“+”<->“·”,“1”<-> “0”,原变量 <->反变量,得Y

三、对偶定理

).(C B A Y += BC A Y +=' Y Y ≠',但若有一X的对偶式X ',若Y X '='则Y X =

如: BC A Y += )(C B A Y +='

而))((C A B A X ++= AC AB X +='

可知Y X '='则))((C B B A BC A ++=+成立。

§1-5逻辑函数的表示方法 一、逻辑函数

Y=F(A,B,C ……)——Y=AB+AC+BD 二、表示方法

1.真值表 2.逻辑函数式 3.逻辑图 三、几种方法的相互转换 四、逻辑函数的几种标准形式

1. 最小项和最大项

2.最小项和的形式:

ABC

C AB BC A i m C B A Y i

i ++===∑)

7,6,3(),,(

2. 最大项之积的形式:

∏≠=i

k k M C B A Y ),,(

§1-6逻辑函数的公式化简法

一、逻辑函数的最简形式 二、常用的化简方法

1.并项法 A B B A B A AB =+=+)( 2.吸收法

A+AB=A(1+B)=A

3.消项法 C A AB BC C A AB +=++ 4.消因子法 B A B A A +=+ 5.配项法

§1-7逻辑函数的卡诺图化简法

一、逻辑函数的卡诺图表示法

(相邻性、卡诺图的画法、卡诺图表示逻辑函数的方法)

三、卡诺图化简逻辑函数

(乘积之和:)

(一) 合并最小项的规则:最小项相邻,则可以合并为一项。 (二) 卡诺图简化的步骤。

1.将函数化为最小项之和的形式。 2.画出表示该逻辑函数的卡诺图。 3.找出可以合并的最小项矩形组。 4.选择化简后的乘积项,原则是

① 包含所有最小项

② 乘积项数目应最小,即选择最小的矩形组数目

③ 每个乘积项所含因子应最少,即每个矩形组包含的最小项数最多。用

过的最小项可以再用。

(和之乘积:)

如:D C A C B A D C D C A ABD ABC Y +++++=

得:D A Y +=

§1-8约束项、任意项和逻辑函数中的无关项

-、约束项、任意项和逻辑函数中的无关项

1.约束项――对输入变量附加的限制称为约束,恒等于0的最小项称为约束项。2.任意项――对应的最小项取1或0对逻辑功能无影响。

3.无关项――约束项、任意项

三、无关项在化简逻辑函数中的应用

第二章组合逻辑电路

§2-1常用的组合逻辑电路

一、了解什么是组合逻辑电路

二、译码器

三、编码器

四、加法器

五、数值比较器

§2-2组合逻辑电路的设计(重点了解SSI设计的组合逻辑电路)

一、设计组合逻辑电路的原则和步骤

(一)原则:

1.门电路数目最少

2.门电路输入端最少

对应函数化简为最简表达式

(二)步骤:

1.根据要求写出真值表

①分析事件的因果关系,确定输入和输出变量。

②定义逻辑状态的含义。

③根据给定的事件因果关系列出真值表。

2.写出逻辑表达式――最好用卡诺图求最简表达式

3.根据逻辑函数式画出电路图

可用SSI和MSI

举例:

§2-2组合逻辑电路中的竞争-冒险现象

一、竞争-冒险现象和原因

二、检查竞争-冒险的方法

三、消除竞争-冒险的方法

①引入选通或封锁脉冲

②接入滤波电容

③修改逻辑设计

例:1.用卡诺图法解逻辑方程

A+BC=ACD+BD=B+CD

解:寻找ABCD的值使等式成立

A+BC ACD+BD B+CD

解之:同为1:ABCD=0111,1111,1101,1011

同为0:ABCD=0000,0001,0010

解法2:当原式=1时有

(A+BC)(ACD+BD)(B+CD)=1

当原式=0时有

(A+BC)+(ACD+BD)+(B+CD)=0

画入卡诺图即可。

第三章触发器

一、基本特点:①具有两个能自行保持的稳定状态,即0和1

②能根据不同的输入信号改变状态

③在输入信号消失以后,能将获得的新状态保持下来。

二、触发器的电路结构和动作特点

1.基本RS触发器

2.同步RS触发器(加CP脉冲)

3.主从触发器――JK触发器

4.维持阻塞触发器――D 触发器 5.T

三、触发器的逻辑功能及方法――特性方程、状态转换图

1.RS 触发器????

?=+=+约束条件)

(01SR R S Q Q N

N

2.J-K 触发器 n n n Q K Q J Q

+=+1

3.T 触发器 n n n n Q T Q T Q T Q

⊕=+=+1

4.D 触发器

D Q n =+1

四、触发器的电路结构与逻辑功能的关系――一般了解 五、触发器逻辑功能的转换

1.D 触发器->其它逻辑功能触发器的方法 2.JK 触发器->其它逻辑功能触发器的方法

第四章 时序逻辑电路

一、时序电路在电路结构上的两个特点

1.包括组合电路和存储电路

2.存储电路的输出反馈到输入,与输入信号一起决定组合电路的输出。

二、同步时序电路的分析方法

步骤:①从给定的电路中,写出每个触发器输入端的驱动方程

②得到的驱动方程代入特性方程,得到每个触发器的状态方程,从而得到整个电路的状态方程。 ③根据逻辑图写出输出方程

三、时序电路状态转换表、状态转换图和时序图

1.状态转换表

①输入变量和电路初值代入状态方程――>电路的次态和输出

②将次态作为新的初态新的输入值代入状态方程――>

③将这些结果写成真值表的形式。

2.状态转换图

①用圈内数字表示电路各状态。

②用箭头表示状态间的转化方向。

③用斜杠下面的数字表示输出。

3.时序图

掌握在同步脉冲作用下画出电路状态、输出状态随时间变化的波形二、若干常用的时序逻辑电路

1.寄存器和移位寄存器

2.计数器――加减计数

三、时序电路的设计方法

1.原则和步骤

①逻辑抽象,得出状态转换图(表)

②状态化简――得出最简状态转换图

③状态分配

④选定触发器的类型并求出状态方程、驱动方程和输出方程

⑤画出电路图

⑥检验电路能否自启动。

例:分析下面的时序电路

要求:1.写出状态方程

2.给出状态转移图

3.CP脉冲如图所示,给出相应的Q1,Q2,Q3波形。

解:1.写出各输入端的驱动方程为:

?

?

?

?

?

?

?

?

?

=

=

=

=

+

=

+

=

?

=

=

+

+

+

Q

D

Q

Q

D

Q

Q

Q

Q

Q

Q

Q

Q

Q

Q

Q

Q

D

Q

N

N

N

N

N

N

N

N

N

N

N

N

N

N

N

N

N

N

N

2

3

1

3

1

2

1

2

2

1

3

3

2

3

1

3

2

3

1

1

1

1

)

(

画出状态转换表画出状态转换图

典型问题:

组合逻辑电路和时序逻辑电路的区别

时序逻辑中同步与异步方式的主要区别

组合逻辑电路设计步骤

时序逻辑电路设计步骤

使用VLSI或ULSI可编程器件进行逻辑功能模块设计时步骤什么是等价状态?如何判断等价状态

学习数电心得体会

学习数电心得体会 篇一:学习数字电路之心得体会 学习数字电路之心得体会 不知不觉中,本学期数字电路的学习就要结束了,现在回想一下,到底学了哪些东西呢如果不看书的话,真有点记不住学习内容的先后顺序了,看了目录以后,就明白到底学了什么东西了,最开始学的内容还比较简单,而后面的内容就学得糊里糊涂了,似懂非懂,按老师的说法,就是前面的东西只有十几度的水温,而到了后面,温度就骤升了,需要花更多的时间。 其实吧,总的来说,学习的思路还是很清楚的,最开始学的是数制与码制,特别是二进制的一些东西,主要是为后面的学习打基础,因为对于数字电路来说,输入就是0和1,输出也是这样,可以说,明白二进制是后面学习最基础的要求。到第二章,又学了一些逻辑代数方面的基本知识,首先就有很多的逻辑代数的公式,然后就是逻辑函数了,我感觉这里的函数和原来学的其实都差不多,只不过这里是逻辑函数,每一个变量的取值只有0和1罢了,然后就是用不同的方式来表达逻辑函数,学了很多方法,有逻辑图,波形图等等,过后又学了逻辑函数的两种标准形式—最小项之和和最大项之积,还有逻辑函数的化简方法,之后还有一些无关项和任意项的知识。总而言之,前两章的内容还是比较简单的,

都是一些基础的东西,没有多大的难度,学习起来也相对轻松。 第三章老师没有讲,是关于门电路的知识,我认为还是比较重要的,因为数字电路的构成就是一系列的门电路的组合,以此来完成一定的功能。第四章讲的是组合电路,说白了,就是组合门电路来实现 特定的功能,其最大的特点就是此时的输出只与此时的输入有关,并且电路中不含记忆原件。首先,学习组合电路,我们要知道如何去分析,确定输入与输出,写出各输出的逻辑表达式并且化简,然后就可以列出真值表了,那么,这个电路的功能也就一目了然了,而关于组合电路的设计,其实就是组合电路分析方法的逆运算,设计思路很简单,只要按着步骤来,一般没什么问题,在数电实验课上,就有组合逻辑电路的设计,需要我们自己去设计一些具有特定功能的组合电路,还是挺有趣的。过后还学了一些常用的组合逻辑电路,比如编码器,译码器,数据选择器,加法器等等,我感觉这些电路都挺复杂的,分析起来都很麻烦,更别说设计了,我要做的就是明白它的工作原理,知道它的设计思想就行了。最后了解了一下组合逻辑电路中存在的竞争冒险现象。 我觉得第五章和第六章是比较难的,第五章讲的是触发器,就是一种具有记忆功能的电路,我感觉这一章是学得比较乱的,首先,触发器的种类有点多,有SR锁存器,D触发

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑自测题2

1 : (110.1)2的等值十六进制数是()(2分) A:110.1 B:15. 5 C:6. 8 D:2. 1 您选择的答案: 正确答案: C 知识点:把每四位二进制数分为一组,用等值的十六进制数表示。 ---------------------------------------------------------------------------- 2 : 两输入的与门在下列()时可能产生竞争—冒险现象(2分) A:一个输入端为0,另一个端为1 B:一个输入端发生变化,另一个端不变 C:两个不相等的输入端同时向相反的逻辑电平跳变 D:两个相等的输入端同时向相反的逻辑电平跳变 您选择的答案: 正确答案: C 知识点:门电路两个输入信号同时向相反的逻辑电平跳变的现象称为竞争 ---------------------------------------------------------------------------- 3 : 电路如下图所示,设起始状态Q2Q1=00,第3个上升沿,Q2Q1变为( ) (5分) A:00 B:01 C:10 D:11 您选择的答案: 正确答案: D 知识点:参考T触发器的特性表 ---------------------------------------------------------------------------- 4 : 逻辑函数Y(A, B, C, D)=∑m(0,2,4,6,9,13) + d(1,3,5,7,11,15)的最简与或式为()(5分) A:AD+A’D’ B:A’+D C: A+D D:A’C+AD

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

数字逻辑感想

数字逻辑实验感想 本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉十分的充实,通过亲自动手,使我进一步了解了数字逻辑实验的基本过程和基本方法,为我今后的学习奠定了良好的实验基础。 首先,在对所学的理论课而言,实验给了我们一个很好的把理论应用到实践的平台,让我们能够很好的把书本知识转化到实际能力,提高了对于理论知识的理解,认识和掌握。其次,对于个人能力而言,实验很好的解决了我们实践能力不足且得不到很好锻炼机会的矛盾,通过实验,提高了自身的实践能力和思考能力,并且能够通过实验很好解决自己对于理论的学习中存在的一些知识盲点。 回顾六个实验的过程,总的来说收获还是很多的。最直接的收获是提高了实验中的基本操作能力,并对EDA仪器有了了解,并掌握了基本的操作。但感到更重要的收获是培养了自己对实验的兴趣。还有,就是切身的体验到了严谨的实验态度是何等的重要。 不过说实话,在做试验之前,我以为不会难做,就像以前做的实验一样,操作应该不会很难,做完实验之后两下子就将实验报告写完,直到做完几次实验后,我才知道其实并不容易做。它真的不像我想象中的那么简单,天真的以为自己把平时的理论课学好就可以很顺利的完成实验,事实证明我错了。在最后的综合实验中,我更是受益匪浅。

学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,但是在随后的实验过程中我真的感觉学会了很多,学到了很多知识,在实践中更加理解了书本上的理论知识的经典所在以及这门学科的意义和用处!真心希望以后的课程都能将理论与实践充分的结合起来,在实践的过程中串联书本的知识,让理论化为实践的力量!

2018~2019数字逻辑设计期末考题

2018~2019 数字逻辑设计期末考题 回忆 by liuxilai && 18~19年选课同学 一、简答题(6×5=30分) 1、非确定组合逻辑优化中的蕴含项、质蕴含项和实质蕴含项 2、简述SR、D、JK、D触发器的功能 3、建立时间(setup time)和保持时间的概念,并说明如何确保同步时序逻辑电路正常的工作 4、请简述摩尔机、米利机和同步米利机的概念,并说明如何将前两者转换为后者 5、状态等价的两个含义 6、状态分配的五个原则 二、组合逻辑(2×10=20分) 1、用卡诺图化简以下函数,画卡诺图,并写出蕴含项与实质蕴含项(SOP) F(A,B,C,D)=m(0,2,8,9,10,14)+d(3,4,5) 2、用QM算法化简以下函数 F(A,B,C,D)=m(0,1,2,5,6,7,8,9,10,14)+d(12) 三、时序逻辑(15×2=20) 1、用163计数器设计从1~24计数的计数器,输入为时钟信号CLK,,使能信号En,输出为进位ECO与h[4:0]。 2、使用蕴含表法化简以下状态表 四、状态机设计(30分) 1(10分)、用verilog语言,设计识别串行同步输入序列为1的同步米利机,初始状态复位时输出为0,当1的个数被3整除时输出为1. 2、(20分)米利机,当输入序列中出现011或101时,输出z0有效,序列可重叠。

1(10分)、给出以下状态图,补充完整(图中状态内的编码与输入序列无关)。 2)使用D触发器与最少的NAND与非门,根据上面的状态分配设计电路 (2分)画出二进制状态表 (8分)触发器激励输入与电路输出的卡诺图化简,并写出化简后的函数。

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 1 1 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分)

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

数电课程设计心得(精选多篇)

数电课程设计心得(精选多篇) 第一篇:数电课程设计心得 1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和pcb 连接图,和芯片上的选择。这个方案总共使用了74ls248 ,cd4510 各两个,74ls04 ,74ls08 ,74ls20 ,74ls74 ,ne555 定时器各一个。 2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。 3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。 4、经过两个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。 通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”。 我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。 社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路,执着的走下去。同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们实习成功的一项非常重要的保证。 而这次实习也正好锻炼我们这一点,这也是非常宝贵的。对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

文本预览