简易电子琴课程设计图片
- 格式:doc
- 大小:237.00 KB
- 文档页数:10
微机原理与接口技术课程设计——简易电子琴的设计作者:王雨轩指导老师:张金花摘要:本设计主要是利用可编程并行I/O接口芯片8255,通过对电子琴主体部分的电路进行模仿设计,达到电子琴固有的基本功能,故叫简易电子琴。
利用定时器可发出不同频率的方波的原理,使不同频率的方波进入蜂鸣器发出不同音调。
Abstract: This design mainly is to use programmable parallel I/O interface chip 8255 to design the main body part of the electronic keyboard’s circuit,to achieve the basic function of the inherent.So called simple electronic keyboard.The principle of using the timer can make different frequency square wave,let the different frequency square wave into the buzzer to make different tones.关键字:微机原理接口技术 8255 电子琴目录说明书总页数:14页简易电子琴的设计 (3)一、课题要求与内容 (3)(一)课题要求 (3)(二)课题内容 (3)(三)使用设备 (3)二、系统总体方案设计 (4)(一)系统流程图 (4)三、系统硬件设计 (5)(一)设计原理图 (5)(二)主机连线说明 (5)(三)硬件调试 (5)四、系统软件设计 (6)(一)程序清单 (6)(二)软件调试 (12)五、结束语 (13)六、参考文献 (14)简易电子琴的设计随着电子科技的飞速发展,电子技术正在逐渐改善着人们的学习、生活、工作。
计算机是一种严格按照时序进行工作的数字化、智能化机器,实现电子琴的微型化,可以用作玩具琴、音乐转盘以及音乐童车等等。
EDA上机实验报告简易电子琴学院:电子工程学院班级:020831简易电子琴1.系统原理框图扬声器在不同频率的信号驱动下将发出不同的声音。
本实验是利用实验板上的8个按键产生不同的音阶信号,按键不同时,不同的音阶信号产生不同的频率信号去驱动扬声器,从而实现电子琴的功能。
根据音乐理论,每个8度音之间可分为12个半音,每个半音之间的频率相差(0599.1212 Hz )。
若C 调第一个音名的频率为261.63Hz ,则各音名与频率以及2MHz 时钟的分频系数的关系如表所示。
表1—1音名与频率以及2MHz 时钟的分频系数的关系器产生按键编码信号;时钟分频器产生不同的分频系数,将输入时钟频率分频至各音名对应的频率值,从而驱动扬声器发出该频率的声音。
键盘输入扬声器2MHz 时钟输入图1—2 简易电子琴的系统框图图1—3为实现简易电子琴的顶层原理图。
其中,KEYBOARD 模块实现对键盘的编码,K[7..0]为键盘输入,SEL[2..0]为3位二进制编码输出,EN 为使能输出信号(高电平有效);M_FREQ 模块实现分频功能,CLK 为时钟输入,当SEL[2..0]编码输入不同,且EN 输入为高电平时,分频器产生不同的频率值,当SPK 输出为1时扬声器响,否则静音。
图1—3实现简易电子琴的顶层原理图2.模块设计①键盘编码器VHDL描述文件keyboard.vhd如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity keyboard isport(k: in std_logic_vector(7 downto 0); --8位键盘输入sel: out std_logic_vector(2 downto 0); --3位键盘编码输出en: out std_logic ---使能输出);end keyboard;architecture arc_keyboard of keyboard isbeginprocess(k)begincase k iswhen"11111110"=>sel<="001"; --按键,产生编码en<='1';when"11111101"=>sel<="010";en<='1';when"11111011"=>sel<="011";en<='1';when"11110111"=>sel<="100";en<='1';when"11101111"=>sel<="101";en<='1';when"11011111"=>sel<="110";en<='1';when"10111111"=>sel<="111";en<='1';when"01111110"=>sel<="000";en<='1';when others=> sel<="000";en<='0';end case;end process;end arc_keyboard;②时钟分频器VHDL描述文件m_freq,vhd如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity m_freq isport(clk,en:in std_logic;sel: in std_logic_vector(2downto 0); --3位键盘编码输入spk: out std_logic --扬声器控制信号输出);end m_freq;architecture arc_m_freq of m_freq issignal count_ld,count:std_logic_vector(12 downto 0);beginprocess(sel)begincase sel iswhen "000" => count_ld <= "0111011101110"; --3822when "001" => count_ld <= "1110111011011"; --7643when "010" => count_ld <= "1101001010101"; --6809when "011" => count_ld <= "1011110110010"; --6066when "100" => count_ld <= "1011001011101"; --5725when "101" => count_ld <= "1001111101101"; --5101when "110" => count_ld <= "1000111000000"; --4544when "111" => count_ld <= "0111111010000"; --4048when others => count_ld <= "0111011101110"; --3822end case;end process;processbeginwait until clk'event and clk='1'; --计数器同步清零if en='0' thencount<=(others=>'0');spk<='1'; --当计数值小于count_ld/2时,spk=’1’,且加1计数elsif count<('0'&count_ld(12 downto 1)) thencount<=count+1;spk<='1';--当计数值大于count_ld/2且小于count_ld时,spk=’0’,且加1计数elsif count<count_ld thencount<=count+1;spk<='0';--当计数值小于count_ld时,计数器清零,spk=’1’else count<=(others=>'0');spk<='1';end if;end process;end arc_m_freq;3.原理图和波形仿真图①键盘编码器的.bsf图:②时钟分频器的.bsf图:③简易电子琴的顶层原理图.bdf:⑤波形仿真图:⑴按键编码为’11111110’时波形图:⑵按键编码为’11111101’时波形图:⑶按键编码为’01111111’时波形图:其它的波形图也和这差不多,只要分别改变k[7]到k[0]的高低电平达到和编码的高低电平相同,然后仿真再看波形图。
简易电子琴设计姓 名学 号 院、系、部 班 级 完成时间※※※※※※※※※ ※※※※※※※※※※※※※※※2013级模拟电子技术课程设计摘要本课题的目的就是熟悉555定时器的内部结构和功能、多级三极管组成放大电路,合理使用和搭配其他电子元件,能够完成本课题所需设计的电路的设计,并设定各元件的参数使其实现三键的简易电子琴的功能。
熟练掌握了多级放大电路的原理及应用。
电子琴以电位器调整输入信号从而引起单稳态触发器产生方波,再经过两级放大电路放大信号传送给喇叭,驱动喇叭发声,经过电位器的调解输入信号产生变化,从而发出不同频率的声音,达到设计要求。
关键词:555定时器整流器稳压器目录第1章设计目地 (1)第2章设计主体 (1)第3章硬件电路设计3.1 整体框图 (1)3.2 555定时器构成的单稳态触发器 (1)3.3 电位器电阻的确定 (3)3.4 两级三极管构成的多级放大电路 (4)第4章总体原理电路图 (5)第5章仿真结果及说明 (6)第6章设计总结 (6)参考文献 (7)第1章设计目的学会555定时器构成的单稳态触发器,掌握数字电子技术基础的基本概念、基本理论和基本方法,学会使用Multisim仿真软件。
第2章设计主体用555定时器构成单稳态触发器实现,当按键按下后发光二极管发光,给电路提供电源;同时隔离选频电阻之间的电平,选频用电位器调节实现(可加在开关和触发器输入之间),触发器输出经两个9013功率放大,来驱动喇叭,调节电位器,使其音阶发出1、2、3,设计供电电源电路,线路板合理布局,要求布局美观,布线合理,注意各个芯片的管脚图,注意电源和地使用不同颜色的线区分,注意芯片的电源和地不要接反,在实习报告中写出设计过程,设计原理及体会。
第3章硬件电路设计3.1 整体框图图3.1 整体框图3.2 555定时器构成的单稳态触发器单稳态触发器的特点是电路有一个稳定状态和一个暂稳状态。
在触发信号作用下,电路将由稳态翻转到暂稳态,暂稳态是一个不能长久保持的状态,由于电路中RC延时环节的作用,经过一段时间后,电路会自动返回到稳态,并在输出端获得一个脉冲宽度为tw 的矩形波。
目录1. .................................................................................................................................................................................. 设计原理. (1)1.1 AT89S51 单片机功能特性 (1)1.2 复位控制电路原理 (2)2. .................................................................................................................................................................................. 设计目的. (2)3. .................................................................................................................................................................................. 设计要求. (3)4. .................................................................................................................................................................................. 设计任务和容 (3)4.1 设计任务 (3)4.2 设计容 (3)5 .系统框图和原理分析 (4)5.1 系统框图 (5)5 .2 原理分析 (5)6 .程序流程图 (6)7.关键代码分析 (8)8. 设计总结.......................................................................................... 1 19. 参考文献.......................................................................................... 1 1附录 .......................................................................................................................................................................... 1. 2附录 1 电路图..................................................................................... 1 2附录 2 PCB 图....................................................................................................................................................... 1 3附录 3 源程序(汇编或 C 语言).................................................................... 1 31. 设计原理1.1 A T89S51单片机功能特性AT89S51单片机是一种低功耗、高性能COMS位控制器,具有8K可编程Flash存储器。
课程设计任务书学生姓名:郑婷专业班级:信息工程0903班指导教师:华剑工作单位:信息学院题目: 简易电子琴的设计与制作初始条件:已知条件简易电子琴一般具有弹奏一个自然大调7声音阶的功能。
本课程设计,要求用中、小规模集成电路芯片实现控制功能,芯片型号、数量不限。
要求完成的主要任务:(1)设计任务根据已知条件,设计并制作一个简易电子琴。
(2)设计要求A 基本要求:①具备8个按键,能够分别较准确地弹奏出1~1八个音符。
②选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
用EWB或MULTISIM软件完成仿真,之后制作出相应实物,并按规定格式写出课程设计报告书。
B 扩展要求:(在完成基本要求的前提下,学有余力的同学可完成)①能够弹奏出至少21个音符(三个音阶)。
②能够较便捷地完成音阶的升降。
(用单刀三掷开关实现正常、升8度和降8度的切换)时间安排:1、年月日分班集中,作课设具体实施计划与课程设计报告格式的要求说明,分发工具与器材。
课设答疑问地点:。
2、年月日至年月日完成设计制作与调试。
3、年月日完成课程设计报告与答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录1 绪论 (1)2 方案的论证及选择 (4)3 单元电路的设计 (6)3.1 单元电路的设计 (6)3.2 参数计算 (13)3.3 器件选择 (14)4 电路图的绘制 (14)5 电路的仿真、安装及调试 (15)6 体会 (16)参考文献 (17)附录................................... 错误!未定义书签。
1 绪论电子科学技术是人类在生产斗争和科学实验中发展起来的。
1883年美国发明家爱迪生发现了热电子效应,1904年弗莱明利用这个效应制成了电子二极管,并证实了电子管具有“阀门”作用,它首先被用于无线电检波。
1906年美国的德福雷斯在弗莱明的二极管中放进了第三电极—栅极而发明了电子三极管,从而建树了早期电子技术上最重要的里程碑。
目录第1章概述 (1)1.1 设计的目的 (1)第2章系统设计 (2)2.1简易电子琴设计方案 (2)2.2系统总框图 (2)2.3系统工作原理 (3)第3章硬件电路的设计 (4)第4章软件的设计 (5)4.1 程序流程图 (5)4.2 程序设计说明 (6)4.2.1 对声音的控制 (6)4.2.2对演奏的控制 (6)第5章总结 (7)参考文献 (8)附录1 系统电路图 (9)附录2 源代码 ........................................................................... 错误!未定义书签。
第1章概述我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。
本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。
1.1设计目的(1)能够对电子电路、电子元器件、印制电路板等方面的知识有进一步的认识,独立对其进行测试与检查。
(2)熟悉8051单片机的内部结构和功能,合理使用其内部寄存器,能够完成相关软件编程设计工作。
(3)为实现预期功能,能够对系统进行快速的调试,并能够对出现的功能故障进行分析,及时修改相关软硬件。
(4)对软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高。
第2章系统设计2.1简易电子琴设计方案设计一简易电子琴,要求能够发出1、2、3、4、5、6、7等七个音符。
使用元件:AT89C51、LM324,喇叭,按键等1.能够实现基本的琴键功能即每按下一个琴键,单片机能够检测到键盘的按键,并根据按键的位置通过程序来控制,使蜂鸣器发著不同频率的声音,声音延迟延迟一段时间,等到按键放开后,声音停止。
目录1 概述 (1)1.1 设计背景和意义 (1)1.2 设计任务 (1)1.3 设计要求 (1)2 原理设计及层次划分 (2)2.1 工作原理 (2)2.2 层次划分 (2)3 软件设计 (5)3.1 乐曲自动演奏模块代码设计 (5)3.2 音调发生模块代码设计 (6)3.3 数控分频模块代码设计 (7)3.4 顶层模块代码设计 (8)4 仿真及测试 (9)4.1 乐曲自动演奏模块仿真 (9)4.2 音调发生模块仿真 (9)4.3 数控分频模块仿真 (9)4.4 顶层模块仿真 (10)4.5 原理图综合时序仿真 (10)5 总结 (11)6 参考文献 (12)1 概述1.1 设计背景和意义EDA技术是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。
1.2 设计任务利用所学的EDA设计方法设计简易电子琴,熟练使用QUARTUSⅡ应用软件,进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。
1.3 设计要求①设计一个简易的八音符电子琴,它可通过按键输入来控制音响。
②演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。
③能够自动演奏多首乐曲,且每首乐曲可重复演奏。
④它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。
2 原理设计及层次划分2.1 工作原理本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴的乐曲演奏,它要求在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。
按下每个代表不同音阶的按键时,能够发出对应频率的声音。
故系统可分为乐曲自动演奏模块(AUTO)、音调发生模块(TONE)和数控分频模块(FENPIN)三部分。
课程设计课程名称题目名称专业班级学生姓名学号指导教师二○--年月日目录1 设计任务书 (1)2 电路总体设计 (2)3 各部分电路设计 (3)3.1 R、C选频网络电路的设计 (3)3.2 波形产生电路 (4)4 Multisim仿真与数据分析 (7)5总结 (9)6致谢 (10)参考文献 (11)附录A 总原理图 (12)附录B 系统元器件清单 (13)1 设计任务书设计目的(1)熟悉数字电子技术课程设计的方法和思想(2)熟悉仿真软件Multisim使用(3)进一步理解555多谐振荡器在设计过程中的使用(4)熟悉555多谐振荡器的应用(5)熟悉简易电子琴的设计方法和过程设计思路(1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率(2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。
(3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音(4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。
2 电路总体设计本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。
因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。
而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。
每一个频率经过多谐振荡器都会产生一个矩形脉冲。
因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。
该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。
实现了简易电子琴的设计。
电路整体框图如下:图2.1 基本方框图该电路具有原理简单、容易制作、调试方便等特点。
能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。
其中,稳压电源可以由电脑提供。
3 各部分电路设计3.1 R、C选频网络电路的设计要设计R、C选频网络电路,首先应该知道的是各音阶对应的频率,查询资料得出结果如下表发音音阶1dou2ruai3mi4fa5suo6la7si低262 294 330 349 392 440 494中523 587 659 698 784 880 988高1046 1175 1318 1397 1568 1760 1967 由上表可以看出,中音的频率是低音的2倍,高音的频率是低音的4倍,所以在设计选频网络时,只要准确地确定低音的频率,改变电容的大小,就能很方便的调节出高音阶的频率。
数电课程设计--简易电子琴目录1 设计任务 (1)1.1基本任务 (1)1.2 扩展任务 (1)2 设计方案原理 (1)3 单元电路的设计 (2)3.1 多谐振荡器 (2)3.2 琴键开关 (3)3.3 扩音器(喇叭) (4)3.4 器件选择 (4)4 电路图的绘制 (5)5 电路的仿真及调试 (6)6 体会 (6)参考文献 (8)1 设计任务电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。
本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。
虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。
本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。
本次设计的任务为:1.1基本任务①具备8个按键,能够分别较准确地弹奏出1~•1八个音符。
②选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
用Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计报告书。
1.2 扩展任务①能够弹奏出至少21个音符(三个音阶)。
②能够较便捷地完成音阶的升降。
(按一个开关实现升8度,按另一个开关实现降8度)2 设计方案原理本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。
电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。
原理框图如下:图1 原理框图3 单元电路的设计3.1 多谐振荡器利用多谐振荡器产生周期脉冲电路图如下图所示图2 多谐振荡器电路实现图中引脚功能:1脚:GND(或Vss)外接电源负端VSS或接地,一般情况下接地。
2脚:TR低触发端。
3脚:OUT(或Vo)输出端。
4脚:Rd是直接清零端。
当R端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。
《电子设计》简易电子琴1、设计任务本次的设计任务是设计一款简易电子琴,其功能是能够通过使用者交互完成播放两个八度声音与音乐的目的。
2、设计方案2.1设计框图本次设计共有两种方案。
第一种方案使用STC89C52RC 单片机。
通过独立按键完成输入,通过扬声器完成声音的输出。
其设计框图如下:图1:方案一硬件框图第二种方案使用STC8G1K08单片机。
通过触摸按键结合单片机ADC 完成输入,通过TC8002功放电路完成声音的输出。
其设计框图如下:图2:方案二硬件框图2.2 各模块设计2.2.1 电源设计(例如)方案一使用的是STC89C52RC 单片机,其工作电压为5V ,通过引脚与5V 外部电源连接即可完成供电。
方案二使用的是STC8G1K08单片机,其工作电压也是5V ,通过TYPEC 接口完成供电。
原理图如下图所示:图3:方案二电源设计2.2.2 输入电路设计方案一与方案二使用两种不同的输入方式。
方案一使用共阴极接法的独立按键与单片机引脚连接,通过单片机检测按键是否被按下完成输入检测。
其原理图如下图所示:图4:方案一输入电路方案二使用触摸检测电路完成输入功能。
使用者接触触摸按键时会改变该电路的电容,使单片机ADC 引脚接收的数据发生改变,进而达到输入功能。
其原理图如下图所示:图5:方案二输入电路2.2.3 扬声器与功放电路两种方案播放声音的设备都是喇叭,但驱动电路不同。
方案一使用的三极管放大电路,其原理图如下图所示:图6:方案一扬声器驱动电路方案二使用功放芯片TC8002完成扬声器的驱动。
该芯片是一颗带关断模式,专为大功率高保真的应用场合所设计的音频功放IC。
它所需外围元件少且在2V~5V的输入电压下即可工作。
它的管脚图如下图所示:图7:TC8002管脚排列图经查看该芯片手册设计的功放电路图如下图所示:图8:功放模块电路图2.2.4 其余电路设计除以上两种模块,还有其余的模块电路如方案一的晶振电路,复位电路,方案二的供电提示电路等。
在电路板上安装八个按键开关,分别接入对应的电路中来控制输出频率。
如图2.1.1所示。
图2.1.1示电路实现频率的控制,采用一个单刀单掷开关,从左到右依次闭合开关,可以获得所需的频率。
2.1.2 音调发声模块
图2.1.2所示是整个电路设计的关键,由一个555芯片和几个电容以及电阻组成多谐振荡器,经过可调电阻输出设计所需对应的频率。
由一个LM386芯片和一个喇叭组成音响,LM386将系统产生的信号放大,经过喇叭发出声音。
模块设计图如图2.1.3所示
图2.1.3 音响模块
2.2 工作原理
音乐产生原理及硬件设计由于一首音乐是由许多不同的音阶组成的,而每个音阶对应着不同的频率,例如本次实验所需频率如下:1:261.6HZ、2:293.6HZ、3:329.6HZ、4:349.2HZ、5:392.0HZ、6:440.0HZ、7:493.9HZ、0:523HZ。
由此,我们就可以利用不同的频率的组合,奏出不同的音调,即可构成我们所想要的音乐了。
工作原理图如图2.2所示
图2.2简易电子琴的工作原理图
参数计算
按照原理图焊接好电路图之后,接上电源,发现电路不工作。
经过检查之后发现出现虚焊,改正后电路工作正常。
通过计算得到可调电阻的阻值分别为:148.22K、121.16K、97.01K、85.95K、65.65K、47.57K、31.47K、24.15K。
逐个调节可变电阻的阻值,使每个阻值都对应所需的阻值后,电子琴发出所需要的声音,达到了设计的预期效果。
计算周期的公式为:T=0.7(Rw+2R9)*C1,计算频率的公式为:f=1/0.7(Rw+2R9)*C1。
通过计算可知:
R1=148.22K(即f1=261.6 Hz)
R2=121.16K(即f2=293.6 Hz)
R3=97.01K(即f3=329.6 Hz)
R4=85.95K(即f4=349.2 Hz)
R5=65.65K(即f5=392.0 Hz)
R6=47.57K(即f6=440.0 Hz)
R7=31.47K (即f7=493.9 Hz)
R8=24.15K(即f8=523 Hz)
第五章系统调试及测试结果分析5.1 系统调试(附:仿真软件波形图)
1.不闭开关
图5.1.1 关闭开关1后的波形图
2.关闭开关1
图5.1.2 关闭开关1后的波形图3.关闭开关2
4.关闭开关3
图5.1.4 关闭开关3后的波形图5.关闭开关4
6.关闭开关5
图5.1.6 关闭开关5后的波形图
7.关闭开关6
图5.1.7 关闭开关6后的波形图8.关闭开关7
图5.1.8 关闭开关7后的波形图
9.关闭开关8
图5.1.9 关闭开关8后的波形图附录一工作原理图
附录二元件清单
名称型号数量芯片NE555 1块芯片LM386 1块电阻50KΩ1个可调电阻100KΩ6个电解电容 4.7μf 1μf47μf各一个按钮开关无8个瓷片电容22nf(2个) 10nf(1个)共3个扬声器8Ω1个可调电阻200KΩ2个电路板1块。