2016EDA实验报告D触发器的设计
- 格式:docx
- 大小:77.29 KB
- 文档页数:4
实验一、D触发器的设计和仿真一、实验目的1、学习模拟数字电路单元的基本设计方法,其中包括反相器、传输门、与非门。
2、学习Cadence工具下电路设计的基本操作和方法,包括电路图的编辑以及仿真调试过程。
二、实验内容本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。
实验内容包括:1.熟悉Cadence界面及基本的建立新的cell文件等基本过程;2.完成反相器、与非门、传输门电路的设计,并进行波形仿真,根据波形验证功能是否实现;3.在此基础上,完成各个单元电路symbol的建立;4.利用建立的单元电路symbol完成D触发器电路的设计和仿真;5.利用Cadence的仿真环境得到波形,分析仿真结果。
该电路设计采用上华CSMC0.5umCMOS工艺设计,工作电压5V。
三、实验原理工作过程如下:当CLK的上升沿到达时,C=1、C`=0,T1变为截止、TG2变为导通。
由于反相器G1输入电容的存储效应,G1输入端的电压不会立刻改变,于是Q1在T1变为截止前的状态被保存下来。
同时,随着T4变为截止、T3变为导通,Q1的状态通过T3和G3、G4送到了输出端,使Q*=D(CLK上升沿到达时D的状态)。
因此,这是一个上升沿出发的D触发器。
四、实验步骤1、登陆到UNIX系统。
在登陆界面,输入用户名stu01和密码123456。
2、Cadence的启动。
登录进去之后,点击Terminal出现窗口,输入icfb命令,启动Cadence软件。
3、原理图的输入。
(1)Composer的启动。
在CIW窗口新建一个单元的Schematic视图。
(2)添加器件。
在comparator schematic窗口点击Add-Instance或者直接点i,就可以选择所需的器件。
(3)添加连线。
执行Add-Wire,将需要连接的部分用线连接起来。
(4)添加管脚。
执行Add-Pin和直接点p,弹出添加管脚界面。
实验报告哈尔滨工程大学教务处制实验二 D触发器的设计和仿真一、实验目的1、学习模拟数字电路单元的基本设计方法。
2、学习Cadence工具下电路设计的基本操作和方法。
3、学习Sprectre工具的仿真操作方法。
二、实验内容本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。
实验内容包括:完成反相器、与非门、传输门电路的设计和仿真验证;完成各个单元电路symbol的建立;利用建立的单元电路symbol完成D触发器电路的设计和仿真;分析仿真结果。
该电路设计采用上华CSMC0.5umCMOS工艺设计,工作电压5V。
三、实验步骤1、登陆到UNIX系统。
在登陆界面,输入用户名和密码,用户名和密码都为学生学号。
2、Cadence的启动。
启动Cadence软件的命令有很多,不同的启动命令可以启动不同的工具集,常用的启动命令有icfb,icca等,也可以单独启动单个工具。
3、原理图的输入。
(1)Composer的启动。
在CIW窗口新建一个单元的Schematic 视图。
(2)添加器件。
在comparator schematic窗口点击Add-Instance 或者直接点i,就可以选择所需的器件。
(3)添加连线。
执行Add-Wire,将需要连接的部分用线连接起来。
(4)添加管脚。
执行Add-Pin和直接点p,弹出添加管脚界面。
(5)添加线名。
为设计中某些连线添加有意义的名称有助于在波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。
点击Add-Wire Name,弹出新窗口,为输入输出线添加名称。
为四端的MOS器件的衬底添加名称vdd!或gnd!,其中!表示全局变量。
(6)添加电源信号。
选择Vdd和Gnd的symbol各一个,在两个symbol之间连接一个vdc,设置直流电压5V。
(6)保存并检查。
点击schematic窗口上的Check and Save按钮,察看是否有警告或者错误。
触发器实验报告一、实验目的本次触发器实验的主要目的是深入了解触发器的工作原理、功能特性以及在数字电路中的应用。
通过实际操作和观察,掌握触发器的基本概念,熟悉其逻辑功能和时序特性,为后续更复杂的数字电路设计和分析打下坚实的基础。
二、实验设备与器材1、数字电路实验箱2、示波器3、逻辑分析仪4、若干集成电路芯片,包括 D 触发器、JK 触发器等三、实验原理(一)D 触发器D 触发器是一种在时钟脉冲上升沿或下降沿触发的触发器。
当 D 输入端的数据在时钟脉冲作用下被传输到输出端 Q。
其逻辑表达式为:Q(n+1) = D 。
(二)JK 触发器JK 触发器具有置 0、置 1、保持和翻转四种功能。
当 J = 1,K = 0 时,触发器置 1;当 J = 0,K = 1 时,触发器置 0;当 J = K = 0 时,触发器保持原态;当 J = K = 1 时,触发器翻转。
其逻辑表达式为:Q(n+1) = JQ' + K'Q 。
四、实验内容与步骤(一)D 触发器功能测试1、按照实验电路图在数字电路实验箱上连接好 D 触发器芯片。
2、将 D 输入端分别接高电平和低电平,通过示波器观察时钟脉冲和输出端 Q 的波形,记录实验结果。
(二)JK 触发器功能测试1、依照实验电路图搭建 JK 触发器的实验电路。
2、分别设置 J、K 输入端的不同组合,观察并记录输出端 Q 的状态变化。
(三)触发器的级联1、将多个 D 触发器或 JK 触发器级联,形成移位寄存器。
2、输入串行数据,观察移位寄存器的输出结果。
五、实验数据与结果分析(一)D 触发器实验结果当 D 输入端接高电平时,在时钟脉冲上升沿,输出端 Q 变为高电平;当 D 输入端接低电平时,在时钟脉冲上升沿,输出端 Q 变为低电平。
这与 D 触发器的逻辑功能相符,验证了其正确性。
(二)JK 触发器实验结果在不同的 J、K 输入组合下,JK 触发器的输出端 Q 呈现出置 1、置0、保持和翻转的状态,与理论预期完全一致。
实验一、D触发器的设计和仿真一、实验目的1、学习模拟数字电路单元的基本设计方法。
2、学习Cadence工具下电路设计的基本操作和方法。
3、学习Sprectre工具的仿真操作方法。
二、实验内容本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。
实验内容包括:完成反相器、与非门、传输门电路的设计和仿真验证;完成各个单元电路symbol的建立;利用建立的单元电路symbol完成D 触发器电路的设计和仿真;分析仿真结果。
该电路设计采用上华CSMC0.5umCMOS 工艺设计,工作电压5V。
三、实验步骤1、登陆到UNIX系统。
在登陆界面,输入用户名和密码,用户名和密码都为学生学号。
2、Cadence的启动。
启动Cadence软件的命令有很多,不同的启动命令可以启动不同的工具集,常用的启动命令有icfb,icca等,也可以单独启动单个工具。
3、原理图的输入。
(1)Composer的启动。
在CIW窗口新建一个单元的Schematic视图。
(2)添加器件。
在comparator schematic窗口点击Add-Instance或者直接点i,就可以选择所需的器件。
(3)添加连线。
执行Add-Wire,将需要连接的部分用线连接起来。
(4)添加管脚。
执行Add-Pin和直接点p,弹出添加管脚界面。
(5)添加线名。
为设计中某些连线添加有意义的名称有助于在波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。
点击Add-Wire Name,弹出新窗口,为输入输出线添加名称。
为四端的MOS器件的衬底添加名称vdd!或gnd!,其中!表示全局变量。
(6)添加电源信号。
选择Vdd和Gnd的symbol各一个,在两个symbol之间连接一个vdc,设置直流电压5V。
(6)保存并检查。
点击schematic窗口上的Check and Save按钮,察看是否有警告或者错误。
如果有,察看CIW窗口的提示。
d触发器实验报告D 触发器实验报告一、实验目的1、深入理解 D 触发器的工作原理和逻辑功能。
2、掌握 D 触发器的特性测试方法。
3、学会使用实验仪器和设备进行电路搭建和测试。
二、实验原理D 触发器是一种具有存储功能的逻辑单元,它在数字电路中有着广泛的应用。
D 触发器的特点是在时钟脉冲的上升沿或下降沿,将输入的数据(D 端)存储到输出端(Q 端)。
其逻辑表达式为:Q(n+1) = D (在时钟上升沿或下降沿时)D 触发器通常由门电路组成,常见的有基于与非门的实现方式。
三、实验设备与材料1、数字电路实验箱2、 74LS74 双 D 触发器芯片3、示波器4、直流电源5、逻辑电平测试笔6、若干导线四、实验内容及步骤(一)测试 D 触发器的逻辑功能1、按照实验箱的说明,将 74LS74 双 D 触发器芯片插入合适的插槽。
2、连接电路,将 D 端分别接高电平和低电平,时钟端(CLK)接入脉冲信号,使用逻辑电平测试笔观察 Q 端和\(\overline{Q}\)端的输出电平。
3、记录不同输入情况下的输出结果,验证 D 触发器的逻辑功能。
(二)观察 D 触发器的状态转换1、将 D 端接一个可手动控制的电平开关,CLK 端接入连续的时钟脉冲。
2、通过示波器观察 Q 端的波形,观察在不同 D 输入时,Q 端的状态转换情况。
(三)构建一个简单的计数器1、使用两个 D 触发器串联,构成一个 2 位二进制计数器。
2、输入时钟脉冲,观察计数器的计数过程,验证其功能。
五、实验数据记录与分析(一)逻辑功能测试数据| D 输入| CLK 脉冲| Q 输出|\(\overline{Q}\)输出||||||| 0 |上升沿| 0 | 1 || 0 |下降沿| 0 | 1 || 1 |上升沿| 1 | 0 || 1 |下降沿| 1 | 0 |从上述数据可以看出,D 触发器在时钟脉冲的上升沿或下降沿,能够准确地将 D 端的输入存储到 Q 端,符合其逻辑功能。
d触发器实验报告D触发器实验报告引言:D触发器是数字电路中常用的一种时序电路元件,其具有存储和传输数据的功能。
本实验旨在通过搭建和测试D触发器电路,加深对该元件的理解,并验证其工作原理。
实验目的:1. 了解D触发器的基本原理和功能;2. 掌握D触发器的搭建方法;3. 验证D触发器在不同输入条件下的工作特性。
实验器材:1. 数字电路实验箱;2. 7400系列集成电路芯片;3. 电压源、示波器等实验设备。
实验步骤:1. 搭建D触发器电路:根据实验箱上的示意图,连接集成电路芯片,将D触发器电路搭建好。
2. 输入电路设计:设计一个简单的输入电路,用于改变D触发器的输入值。
可以使用开关、按钮或者信号发生器等。
3. 测试触发器的工作特性:a. 设置输入为低电平,记录输出状态;b. 将输入切换为高电平,观察输出状态是否发生变化;c. 连续改变输入电平,观察输出是否跟随变化。
4. 测量触发器的时序特性:a. 使用示波器测量D触发器的输入和输出波形;b. 记录并分析触发器的延时时间、上升/下降时间等参数。
实验结果与分析:通过实验,我们得到了D触发器在不同输入条件下的工作特性。
在输入为低电平时,输出保持不变;当输入切换为高电平时,输出状态发生改变。
这说明D 触发器具有存储和传输数据的功能。
同时,我们还测量了触发器的时序特性,得到了一些重要的参数。
讨论与总结:D触发器是数字电路中重要的时序元件,广泛应用于计算机、通信等领域。
通过本次实验,我们深入理解了D触发器的工作原理和特性。
同时,我们也发现了一些问题和改进的空间,例如触发器的响应时间较长,可以尝试优化电路设计以提高性能。
结语:通过本次实验,我们成功搭建和测试了D触发器电路,并验证了其工作原理。
这对于我们进一步理解数字电路和时序电路的原理和应用具有重要意义。
希望通过今后的实验和学习,我们能够更深入地探索和应用这些知识,为科学技术的发展做出贡献。
南京工程学院通信工程学院实验报告课程名称 TCP/IP实验项目名称多进程并发服务器编程实验学生班级媒通111 实验学生姓名陆春萍实验学生学号 208110509 实验时间 2013.10.25 实验地点信息楼C216实验成绩评定指导教师签名年月日一、实验目的学习在maxplusⅡ下用VHDL语言设计简单时序电路与功能仿真的方法。
二、验仪器设备1、PC机一台2、maxplusⅡ。
三、实验要求1、预习教材中的相关内容,编写出D触发器的VHDL源程序。
2 熟悉maxplus软件使用方法3、用VHDL语言输入方式完成电路设计,编译、仿真。
四、实验内容及参考实验步骤(一)、设计输入1、开机,进入maxplusⅡ。
2、为本工程设计建立一个工程文件夹,file之projiect,name之dff1;3、建立设计文件。
选择File菜单之New项,选择文件类型,本设计选择VHD File。
建立一个文本编辑文件4、点击OK,输入源程序:5、保存文件。
注意,必须保存为vhd类型,且文件名与源程序的实体名相同。
(二)、编译1、编译。
点击Start Compilation按钮进行编译。
如果发现错误,改正后再次编译。
(三)、仿真1、建立波形文件。
选择File菜单之New项,选择waveform edit file的SCF文件类型,建立一个波形文件2、设定仿真时间:选择菜单file的End Time …50us,.设定仿真时间域。
3、输入端口信号。
选择菜单node的enter nodes from snf 项,在弹出得出的对话框中单击List按钮,将需要的端口信号拖倒波形编辑器中。
4、编辑输入波形。
在输入端口加上适当的信号,以便在输出端进行观察。
5、保存文件。
6、进行仿真。
点击Start Simulation按钮进行仿真。
7、仿真输出波形:五、VHDL语言的设计流程设计输入、编译、仿真六、时序电路的设计方法编辑和输入设计文件、创建工程、全程编译前约束项目设置、全程综合与编译、仿真测试(注:可编辑下载,若有不当之处,请指正,谢谢!)。
D触发器的设计范文D触发器是一种基本的数字逻辑电路元件,以存储和改变信息的形式对输入信号进行处理。
它是数字电路设计中的重要组成部分,广泛应用于计算机、通信系统、控制系统等数字电子产品中。
D触发器的设计包括逻辑功能设计、电路设计等方面,下面将从这两个方面对D触发器的设计进行详细介绍。
1.逻辑功能设计首先,需要确定D触发器的输入和输出信号。
D触发器的输入信号一般分为时钟信号、数据输入信号和复位信号,输出信号即为存储器单元的输出信号。
然后,根据D触发器的逻辑功能特性进行设计。
D触发器的逻辑功能可以通过以下几个方面来设计:1.1时钟控制:D触发器的数据输入信号只有在时钟信号的控制下才能进行存储和更新。
因此,在设计时需要明确时钟信号的作用和控制条件,确保只有在时钟脉冲的上升沿或下降沿才能更新输出信号。
1.2数据存储:D触发器的主要作用是存储输入信号。
在设计时需要确定输入信号的存储方式,是直接存储还是经过逻辑运算后再存储。
同时,还需要考虑存储数据的位数,以适应不同的应用场景和需求。
1.3复位功能:D触发器一般还具有复位功能,用于清除存储的数据。
在设计时需要确定复位信号的作用和控制条件,确保在复位信号有效时能够清除存储的数据。
1.4输出控制:D触发器的输出信号可以通过逻辑门实现不同的输出控制功能。
在设计时需要确定输出信号的控制方式,以满足不同的应用需求。
2.电路设计2.1电路结构:D触发器常见的电路结构有SR触发器、JK触发器、T触发器等。
在设计时需要根据应用需求和电路复杂度等因素选择适合的电路结构,以实现稳定可靠的电路功能。
2.2元件选型:在设计D触发器的电路时,需要选择合适的元件,包括逻辑门、触发器芯片等。
元件的选型需要考虑其性能、价格、可靠性等因素。
2.3电路连线:D触发器的电路连线需要按照逻辑功能设计的要求进行。
在设计时需要合理规划电路连线的路径,保证信号的传输和连接的可靠性。
除了逻辑功能设计和电路设计外,D触发器的设计还需要进行仿真和验证。
D触发器的设计和仿真报告D触发器是数字逻辑电路中常用的元件,用于存储和传输二进制数据。
本文介绍了D触发器的设计和仿真报告。
首先,我们需要了解D触发器的功能和原理。
D触发器有一个数据输入端D,一个时钟输入端CLK和两个输出端Q和/Q。
当时钟输入端出现上升沿时,D触发器会将输入端的数据D存储到输出端Q中。
即当CLK=1时,Q的值等于D的值。
当CLK=0时,D触发器保持原来的状态,不进行任何操作。
接下来,我们将进行D触发器的设计。
设计D触发器的方法有很多种,其中比较常用的是使用逻辑门和锁存器。
以D触发器的JK触发器为例,我们可以使用逻辑门和多路选择器来实现。
首先,我们可以使用与非门和与门来实现JK触发器的两个与门和一个与非门。
然后,我们可以使用多路选择器将两个与门和一个与非门的输出进行选择,从而实现JK触发器。
在实际设计中,我们需要根据要求确定输入输出的位宽,以及时钟的频率。
根据设计的要求,我们可以选择适合的逻辑门和多路选择器。
接下来,我们进行D触发器的仿真。
仿真可以帮助我们验证设计的正确性和性能。
可以使用仿真软件来进行仿真。
在仿真过程中,我们可以设置不同的输入来检查D触发器的输出是否符合我们的预期。
如果输出和预期不一致,我们可以根据仿真结果进行调试和优化。
在D触发器的仿真过程中,我们可以检查以下几个方面:1.输入的变化:可以检查D触发器对不同输入的响应情况,包括输入的变化速度和输入信号的稳定性。
2.时钟的频率:可以检查D触发器在不同时钟频率下的性能,包括是否出现时钟滞后和时钟失真等问题。
3.输出的变化:可以检查D触发器的输出是否符合设计要求,包括输出的稳定性和电平的准确性。
4.延迟和功耗:可以评估D触发器的延迟和功耗,并与设计要求进行比较。
通过仿真,我们可以评估D触发器的性能并做出相应的调整和优化。
可以根据仿真结果进行电路的修改和重新设计,以达到预期的功能和性能要求。
总结起来,D触发器的设计和仿真是数字电路设计过程中的重要环节。
ALTERA FPGA设计之带复位的D触发器_基本实验Quartus II 13.0 SP1 + Modelsim SE-64 10.2c + Cyclone
【姓名学号】
实验目的:
1、熟悉VHDL触发器的设计;
2、了解FPGA基本结构中的触发器。
3、熟悉VHDLtest bench(测试平台/测试激励)的设计;
4、熟练掌握Altera FPGA的开发环境、设计步骤和流程。
实验形式:边做实验边写实验报告,实验完成后即提交实验报告。
【描述实验中的设计】
用IF 语句设计D 触发器,实现带复位功能的D触发器。
【实验中设计实现的HDL代码】
library ieee;
use ieee.std_logic_1164.all;
entity exp1 is
port(R:in std_logic;
clk:in std_logic;
D:in std_logic;
Q:out std_logic;
Q0:out std_logic);
end exp1;
architecture arch_exp1 of exp1 is
signal a:std_logic;
begin
process(clk,R)
begin
if(clk'event and clk='1')then
if(R='0')then
a<='0';
else
a<=D;
end if;
end if;
end process;
Q<=a;
Q0<=not a;
end arch_exp1;
【实验中用于仿真的HDL test bench代码】library ieee;
use ieee.std_logic_1164.all;
entity exp1_tb is
end exp1_tb;
architecture arch_Etb of exp1_tb is
component exp1 is
port(R:in std_logic;
clk:in std_logic;
D:in std_logic;
Q:out std_logic;
Q0:out std_logic);
end component;
signal R,clk,D,Q,Q0:std_logic;
begin
u_tb:exp1 port map(R=>R,clk=>clk,D=>D,Q=>Q,Q0=>Q0);
process
begin
R <='0';
D <='0';
wait for 10 ns;
R <='0';
D <='1';
wait for 10 ns;
R <='1';
D <='0';
wait for 10 ns;
R <='1';
D <='1';
wait for 10 ns;
R <='1';
D <='0';
wait;
end process;
clk_stimulus:process
begin
clk <='0';
wait for 4 ns;
clk <='1';
wait for 4 ns;
end process clk_stimulus;
end arch_Etb;
【实验中设计的功能仿真结果及分析】
功能仿真结果分析:从上图中的仿真结果可以看出,0 ns~20ns 输入R为低电平’0’,4 ns时,clk 遇到上升沿,电路复位;20ns以后输入R为高电平’1’;30ns~40ns,输入D为高电平‘1’,36ns时,clk为上升沿,输出Q置为D即高电平‘1’,输出Q0置为D的非即低电平‘0’。
功能仿真结果正好验证了我们设计的带复位功能的D触发器是正确的。
【实验中设计的时序仿真结果及分析】(注意建立时间和保持时间)
时序仿真结果分析:从上图中的仿真结果可以看出,0 ns~20ns 输入R为低电平’0’,4 ns时,clk 遇到上升沿,电路复位延迟到7.6ns才发生;20ns以后输入R为高电平’1’;30ns~40ns,输入D 为高电平‘1’,36ns时,clk为上升沿,输出Q置为D即高电平‘1’,输出Q0置为D的非即低电平‘0’,二者都延时到48ns才发生。
时序仿真结果同样验证了我们设计的带复位功能的D触发器是正确的。
【实验中设计的RTL级电路结构及分析】
只含有一个触发器,R作为复位信号,clk 上升沿触发。
【实验中设计的硬件验证结果及分析】
R和D设置为K1,K2,Q和Q0设置为L1,L2,时钟信号由单步时钟输入B18:Step控制。
经验证硬件电路满足上述表格关系,实验成功。
【列出实验中遇到的问题及解决情况】
1、开始时编程得到的RTL电路中含有两个触发器,是因为代码为“Q=D,Q0=not D“,老师要求不能用
inout端口,故改用signal,将信号量赋值给D,“Q=a,Q0=not a”,问题解决。
2、功能仿真中Q和Q0的输出总是慢半个周期,几乎可以看做是下降沿触发,经老师提醒,发现自
己在信号量复制给输出量是代码位置有误,应放在end process之后,仅仅放在end if之后仍会影响输出。
【实验总结】
这次实验我做了两个晚上,第一次做时,因为没有找出功能仿真错误所在,所以决定第二天再来试一次,最后在老师的帮助下完成了实验。
这次实验让我印象深刻,同时也学到了很多知识,以后做实验硬胆大心细多思考,稍不注意的小错误都可能导致结果不正确。