FPGA综合实验项目介绍电子科技大学
- 格式:pptx
- 大小:2.98 MB
- 文档页数:22
电子科技大学成都学院实验报告册课程名称:FPGA实验姓名:徐源学号:1040710526院系:微电子技术系专业:集成(嵌入式)教师:李海2012 年11 月22 日实验一:计数器计数学号后两位一、实验目的:1、通过实验进一步了解和掌握FPGA开发软件的使用方法。
2、通过实验进一步熟悉Verilog HDL的编程方法。
3、掌握用Verilog HDL语言描述十进制计数器的方法。
二、实验原理和内容:通过软件编写代码并仿真实现计数器功能。
三、实验步骤:1、启动Quartus II建立一个空白工程,然后命名为counter.qpf。
2、新建一个Verilog HDL源程序文件counter.v,输入程序代码并保存。
3、对编写好的源程序文件进行综合编译,若编译过程中发现错误,则及时找出并更正,直至编译成功为止。
4、从设计文件创建模块,由counter.v生成counter.bsf模块符号文件。
5、将int_div.v和int_div.bsf拷贝到工程目录。
6、创建原理图模块counter.bdf;并将int_div.bsf和counter.bsf模块添加到图形文件中;连接各模块;加入输入输出引脚并进行引脚命名。
7、将图形文件设置成顶层文件。
8、设置芯片引脚、引脚三态,编译。
9、新建一个波形仿真文件counter.vwf,调入输入输出引脚,并对时钟、复位引脚做相应的负责操作,仿真出波形结果。
四、实验数据和结果:module count(clk,date);input clk;output [5:0]date;reg[5:0]date;always@(posedge clk)begindate<=date+1;if(date==26)begindate<=0;endendEndmodule通过实验学会了如何去编写计数器代码。
实验二:按键控制数码管显示一、实验目的:编写代码实现按键控制数码管的显示。
第3章 基于FPGA 设计FIR 滤波器在前面几章讨论了基于FPGA 设计FIR 滤波器的相关原理及其相关的基础知识。
在本章中将针对前面提到的原理和方法进行进一步的应用和组合。
3.1 指标的确定在本文的设计中,所确定的指标如下:(1) 带宽100MHZ ,最小阻带衰减-35db ,带内波动小于2db 。
(2) 综合仿真。
(3) 设计出满足上述性能指标并且所需资源最少的FIR 滤波器。
(4) 阶数:22。
3.2 设计思路将输入信号的每一位通过四个延时器后,以每位信号的4个引出点作为选择控制端,选择出己经算好的系数,每一位信号选取存储器中的不同位上的数值,达到乘法移位的功能,并送到累加器。
累加器将8位信号选择出的8个数据累加后输出,得到滤波结果。
为了充分利用FPGA 中四输入查找表的电路结构,采用每8节为滤波器的一个基本单元.对于64节滤波器的设计,采用8个基本滤波单元。
3.2.1 FIR 滤波器的基本算法(1) 加法器的设计加法器是数字系统中最常用的运算电路,其他运算电路如减法器、乘法器和除法器等都可以利用加法器来实现,在本设计的中也大量的使用到了加法器。
一个基本N 位二进制加法器/减法器由N 个加法器组成。
每个加法器都执行如下的布尔方程[19]:k x =k s XOR k y y XOR k k k k c y x c ⊕⊕= (3.1)进位位按如下方法计算:1+k c =(k x AND k y ) OR (k x AND k c ) OR (k y AND k c )=(k x k y )+(k x k c )+(k y k c ) (3.2) 最简单的加法器结构称为并行加法,如图 3.4所示,是位串行格式的。
图3.4并行加法器在quartusII中提供宏模块lpm_add_sub来构造加法器和减法器。
表3.1列出了lpm add_sum 的基本逻辑参数[19]。
(2)乘法器的设计乘法器是构成DSP系统的基本部件,也是FIR数字滤波器的基本运算。
FPGA实验报告一、实验目的本次FPGA实验目的是通过使用FPGA开发板,了解FPGA的基本原理和应用,培养学生对数字电路设计的基本能力。
二、实验原理FPGA(Field-Programmable Gate Array)是一种可编程逻辑芯片,通过在芯片中加入多个查找表、可编程互连和触发器等基本模块,使得用户可以根据实际需求程序设计芯片的逻辑功能和互连关系。
FPGA的主要优点是能快速更新设计并且重配置灵活,而且速度快、功耗低。
本次实验我们使用的FPGA开发板是Xilinx Spartan-6系列,采用的开发环境是Xilinx ISE Design Suite。
三、实验内容1.实验用到的器件及端口我们使用的FPGA开发板上有多个输入输出端口,如LED灯、开关、按键等。
其中LED灯作为输出端口,开关和按键作为输入端口。
实验中,我们通过控制开关的状态,来控制LED灯的亮与灭。
2.设计电路我们的实验电路主要由一个FPGA芯片、多个LED灯、多个开关和按键等组成。
具体设计如下:(插入电路图)3.编写代码我们使用Verilog语言来描述逻辑电路的功能,并将其编写成代码。
代码示例如下:module led_controllerinput wire CLK,input wire [3:0] SWITCH,output reg [7:0] LEDcase(SWITCH)endcaseendendmodule4.烧录代码通过Xilinx ISE Design Suite的工具链,将上述代码综合、实现、生成比特文件。
然后通过JTAG接口将比特文件烧录到FPGA芯片中。
5.实验结果实验结果是通过观察LED灯的亮灭情况来验证代码的正确性。
根据开关的不同状态,LED灯的亮灭也不同。
四、实验总结通过本次实验,我们深入了解了FPGA的基本原理和应用,并且使用了Xilinx Spartan-6系列的开发板完成了LED控制的实验。
通过观察LED灯的亮灭情况,验证了我们编写的代码的正确性。
现代电子技术综合实验电子秒表设计学生姓名:xxx学号:xxxxxxxxx指导老师:刘曦学院:xxxxxxxx提交时间:2015年5月摘要本文介绍了使用VHDL开发FPGA的一般流程,重点介绍了电子秒表的设计。
该设计以VHDL作为硬件开发语言,以ISE作为软件开发平台,准确地实现了秒表计数、清零、暂停等功能,并使用ModelSim仿真软件对VHDL程序实现了仿真,完成了综合布局布线,最终将程序下载到芯片Spartan-3A,测试结果良好。
关键字:FPGA VHDL ISE ModelSim 电子秒表目录第一章引言————————————————————————————4 第二章基于FPGA的VHDL设计流程——————————————————42.1 时间的概念及计时方法————————————————————42.2 VHDL语言简介———————————————————————42.2.1 VHDL语言特点————————————————————-42.2.2 VHDL语言优势————————————————————-62.3 FPGA简介—————————————————————————62.3.1 FPGA的主要特点———————————————————-62.3.2 FPGA的开发流程————————————————————6 第三章电子秒表的软件开发环境———————————————————63.1 ModelSim简介————————————————————————73.1.1 ModelSim的特点————————————————————-73.2 ISE简介——————————————————————————-7 第四章电子秒表的设计与实现————————————————————-74.1 实验任务——————————————————————————94.2 实验条件——————————————————————————94.3 系统需求和解决方案—————————————————————94.4 各模块的实现————————————————————————94.4.1 分频器————————————————————————104.4.1.1 分频得到1KHz的时钟信号—————————————104.4.1.2 分频得到100Hz的时钟信号————————————104.4.2 输入控制电路—————————————————————114.4.2.1 防抖电路————————————————————114.4.2.2 控制电路————————————————————114.4.3 计数模块———————————————————————124.4.3.1 十进制计数器——————————————————124.4.3.2 六进制计数器——————————————————134.4.4 锁存器————————————————————————134.4.5 显示模块———————————————————————134.4.5.1 扫描器—————————————————————134.4.5.2 数据选择器———————————————————144.4.5.3 七段译码器———————————————————144.5 分配引脚和下载实现————————————————————-144.6 实验结果及仿真——————————————————————-15 第五章结论———————————————————————————155.1 实验结论—————————————————————————155.2 心得体会—————————————————————————15参考文献———————————————————————————16 致谢—————————————————————————————16 附录————————————————————————————17第一章引言随着现代电子科技的发展,各种新型的电子产品层出不穷,而高精度的电子秒表作为电子产品的一部分,在人们的日常生产、生活中发挥着极其重要的作用。
现代电子技术综合实验(数字秒表)实验报告姓名学号时间2013年4月25日中文摘要摘要:随着电子信息产业的不断发展,基于FPGA的应用技术发展迅速,在某些领域FPGA正逐步代替dsp、arm、单片机等微处理器。
本文设计一个基于FPGA技术的数字秒表。
首先,我们把晶振产生的50MHZ时钟信号送入FPGA芯片内,经FPGA内分频模块处理产生1KHZ时钟信号。
秒表的功能模块由VHDL语言编写,在Xilinx的ISE环境下调试,并在Modelsim上完成仿真,在最后把产生的信号送入LED显示电路里进行显示。
本文从电子秒表的具体设计触发,详细阐述了基于FPGA的数字秒表的设计方案,设计了各模块的代码,并对硬件电路进行了仿真。
关键词:FPGA,VHDL,电子秒表目录第一章引言 (4)第二章基于FPGA的VHDL设计流程ﻩ42.1概述ﻩ42.2VHDL语言介绍ﻩ错误!未定义书签。
2.2.1 VHDL的特点ﻩ错误!未定义书签。
2.2.2 基于VHDL的自顶向下设计方法ﻩ错误!未定义书签。
2.3FPGA开发介绍 (7)2.3.1 FPGA简介ﻩ72.3.2 FPGA设计流程...................................... 82.3.3Spartan-II芯片简介ﻩ9第三章数字秒表的设计与实现..................................... 153.1项目任务与设计思路....................................... 15 3.2基于VHDL方法的设计方案................................... 153.3系统电路设计1ﻩ63.4系统单元模块设计3.4.1 分频器ﻩ173.4.2 计数器 (18)3.4.3 扫描控制显示电路 (24)3.4.4按键消陡模块ﻩ303.4.5 控制电路模块......................................... 313.4.6锁存器模块33ﻩ3.4.7电子秒表顶层连接模块....................................343.5系统硬件实现与调试.............................................373.6结束语 ............................................... (38)致谢........................................................... 38第一章引言随着电子信息产业的发展,数字系统的规模越来越大,更多采用自顶而下的模块化设计方法,这就要求技术人员对于基本的模块有着深入的理解。
第3章 基于FPGA 设计FIR 滤波器在前面几章讨论了基于FPGA 设计FIR 滤波器的相关原理及其相关的基础知识。
在本章中将针对前面提到的原理和方法进行进一步的应用和组合。
3.1 指标的确定在本文的设计中,所确定的指标如下:(1) 带宽100MHZ ,最小阻带衰减-35db ,带内波动小于2db 。
(2) 综合仿真。
(3) 设计出满足上述性能指标并且所需资源最少的FIR 滤波器。
(4) 阶数:22。
3.2 设计思路将输入信号的每一位通过四个延时器后,以每位信号的4个引出点作为选择控制端,选择出己经算好的系数,每一位信号选取存储器中的不同位上的数值,达到乘法移位的功能,并送到累加器。
累加器将8位信号选择出的8个数据累加后输出,得到滤波结果。
为了充分利用FPGA 中四输入查找表的电路结构,采用每8节为滤波器的一个基本单元.对于64节滤波器的设计,采用8个基本滤波单元。
3.2.1 FIR 滤波器的基本算法(1) 加法器的设计加法器是数字系统中最常用的运算电路,其他运算电路如减法器、乘法器和除法器等都可以利用加法器来实现,在本设计的中也大量的使用到了加法器。
一个基本N 位二进制加法器/减法器由N 个加法器组成。
每个加法器都执行如下的布尔方程[19]:k x =k s XOR k y y XOR k k k k c y x c ⊕⊕= (3.1)进位位按如下方法计算:1+k c =(k x AND k y ) OR (k x AND k c ) OR (k y AND k c )=(k x k y )+(k x k c )+(k y k c ) (3.2) 最简单的加法器结构称为并行加法,如图 3.4所示,是位串行格式的。
图3.4并行加法器在quartusII中提供宏模块lpm_add_sub来构造加法器和减法器。
表3.1列出了lpm add_sum 的基本逻辑参数[19]。
(2)乘法器的设计乘法器是构成DSP系统的基本部件,也是FIR数字滤波器的基本运算。
fpga实训报告摘要:本实训报告旨在介绍FPGA(现场可编程门阵列)的基本原理和应用。
文章首先介绍了FPGA的概念和发展历程,然后详细阐述了FPGA的结构和工作原理。
接着,报告列举了几个常见的FPGA应用领域,并重点介绍了在数字信号处理和通信系统中的应用。
最后,本报告总结了FPGA在实际项目中的优势和挑战,并展望了FPGA技术的未来发展方向。
1. 引言FPGA是一种可编程的逻辑芯片,具有灵活性高、性能强等特点,因而在数字电路设计和嵌入式系统开发中得到广泛应用。
本实训报告将深入介绍FPGA的原理和应用领域。
2. FPGA的概念和发展历程FPGA(Field Programmable Gate Array)是一种可编程逻辑器件,最早由Xilinx公司于1985年推出。
与传统的固定功能集成电路相比,FPGA可以通过编程实现不同的逻辑功能。
随着技术的发展和需求的增加,FPGA的规模不断扩大,性能也不断提高。
3. FPGA的结构和工作原理FPGA由可编程逻辑元件、输入/输出接口和内部互联网络构成。
可编程逻辑元件由可编程查找表(LUT)、触发器和算术逻辑单元等组成,可以通过配置位流(Configuration Bitstream)来实现不同的逻辑功能。
内部互联网络用于连接各个逻辑元件和输入/输出接口,实现信号的传输和通信。
4. FPGA的应用领域4.1 数字信号处理FPGA在数字信号处理中广泛应用,例如音频和图像处理等。
由于FPGA具有并行计算能力和高速数据处理特性,可以实现实时的信号采集、转换和滤波等功能。
4.2 通信系统FPGA在通信系统中也有重要应用,例如调制解调器、协议转换器和网络路由器等。
通过FPGA的可编程性,可以根据不同的通信标准和协议进行灵活配置和优化设计。
4.3 自动化控制FPGA可应用于自动化控制系统,如工业控制和机器人控制等。
通过实时数据采集和处理,FPGA可以实现高精度控制和实时响应。
FPGA 课程设计一、实验名称。
基于FPGA技术的交通灯控制系统设计二、实验仪器。
试验箱电脑数据线三、设计目的和设计要求四、电路工作原理及设计方案五、软件工作原理与调试分频模块的设计与仿真图六、心得体会FPGA综合实验安排一、FPGA综合实验选题仔细阅读下列各设计题目的说明和设计要求,每位同学按照指定的题目完成设计。
要求通过综合性实验,进一步理解和掌握硬件描述语言(VHDL或Verilog)和TOP-DOWN的设计流程,提高对实际项目的分析和设计能力,体会FPGA项目开发的过程,熟悉实验报告的编写规范。
二、总体要求1.选定题目后,要严格按照题目要求独立完成,不可随意更改题目。
2.完成总体方案及详细方案设计,提交《设计方案》;3.FPGA课设所选题目要求采用自顶向下的全正向设计方法,并选用Verilog_HDL、VHDL语言或原理图为设计输入工具。
4.要求电路的功能仿真和FPGA后仿真验证全部正确通过,且功能仿真和后仿真建议采用第三方验证工具(Modelsim6.0),综合与布局布线工具为:Quartus II。
5.完成验证方案设计,采用第三方工具完成后仿真,提交后仿真结果报告;;6.课程设计的具体评定标准:7.完成功能和引脚定义,提交功能引脚定义图;8.完成设计电路的FPGA综合及布局布线,提交综合及布局布线报告和引脚分布报告;9.选择任意一种设计输入方式,实现项目的总体设计及各个模块的设计;10.基于FPGA开发板完成设计电路的功能测试验证,提交硬件测试结果报告;11.实际设计要能够运行,并进行验收,须经过指导老师的验收后方可通过12.设计报告要符合规范。
三、实验成绩评定实验的成绩评定以课设出勤情况(20%),选定课题的难易度、完成情况及验收情况(40%)和设计报告(40%)为依据综合评分。
要求对于选定的题目,最后完成的程序在FPGA开发平台上能够运行,而且要完成基本的功能,否则视为没有完成该题目,设计报告中只有设计代码,没有设计框图及仿真文件视为不合格。
FPGA实验报告一、实验目的本次实验的主要目的是了解和掌握FPGA的基本原理、主要特点和应用领域,以及学习使用HDL语言进行FPGA设计和开发。
二、实验器材和软件1.实验器材:FPGA开发板、计算机;2. 实验软件:Xilinx Vivado。
三、实验内容1.FPGA基础知识学习首先,我们学习了FPGA的基本原理和主要特点。
FPGA(Field Programmable Gate Arrays)即现场可编程门阵列,是一种可在现场进行编程和重新配置的集成电路。
与常规的固定功能集成电路相比,FPGA具有灵活性和可重构性的优势,因此在各种应用领域得到广泛应用。
2.VHDL语言学习在了解了FPGA基础知识后,我们开始学习使用HDL语言进行FPGA设计和开发。
HDL(Hardware Description Language)即硬件描述语言,是一种用于描述数字电路结构和行为的语言。
在本次实验中,我们主要学习了VHDL(VHSIC Hardware Description Language)语言的基础语法和常用结构,如组合逻辑和时序逻辑。
3.FPGA设计实验基于学习的FPGA和VHDL知识,我们进行了一系列的FPGA设计实验。
首先,我们设计了一个组合逻辑电路,实现了一个两输入AND门的功能。
然后,我们设计了一个时序逻辑电路,实现了一个简单的计数器,能够在每个时钟上升沿时进行计数。
四、实验结果与分析通过实验,我们成功地实现了一个两输入AND门和一个计数器电路。
经过仿真和综合,我们验证了设计的正确性和可行性。
从实验中,我们不仅掌握了FPGA的基本原理和主要特点,也学习了使用HDL语言进行FPGA设计和开发的基本方法。
通过自己动手实验,我们加深了对FPGA的理解,并提高了自己的实践操作能力。
五、实验总结通过本次实验,我们对FPGA的基本原理和主要特点有了更深入的了解,也掌握了使用HDL语言进行FPGA设计和开发的基本方法。