四人抢答器实验报告
- 格式:doc
- 大小:56.00 KB
- 文档页数:4
四人抢答器设计报告一、设计任务及要求1、设计用于竞赛的四人抢答器(1)有多路抢答器,台数为四;(2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警;(3)能显示超前抢答台号并显示犯规报警;2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响起,直至该路按键放松,显示牌显示该路抢答台号;3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路;4、完成电路全部设计后,通过系统实验箱下载验证设计课题的正确性。
二、四人抢答器框图及设计说明系统复位后,反馈信号为一个高电平,K1、K2、K3、K4输入有效。
当抢答开始后,在第一位按键后,保持电路低电平,同时送显示电路,让其保存按键的台号并输出,同时反馈给抢答台,使所有抢答台输入无效,计时电路停止;当在规定的时间内无人抢答时,倒计时电路输出超时信号;当主持人开始说话未说完有人抢先按键时,显示犯规信号。
当选手回答正确时加分,回答错误时减分。
由主持人控制加减分数。
三、设计思路:根据设计框图和设计要求,本次实验可以采用模块化设计方法来实现智力竞赛四人抢答器。
将抢答器划分为抢答鉴别保持模块,倒计时模块,记分模块和判断显示模块。
再利用元件例化语句将这四个模块组成总的抢答器的设计电路。
选用模式五进行程序的下载。
四、VHDL语言设计与分析1、鉴别模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jianbie isport(nu1,nu2,nu3,nu4:in std_logic;clk,en,rst:in std_logic;warn:out std_logic;back:buffer std_logic;s:out std_logic_vector(3 downto 0));end jianbie;architecture jianbiebeh of jianbie issignal num,warnd:std_logic;signal cnt:std_logic_vector(2 downto 0);beginnum<=nu1 or nu2 or nu3 or nu4;p1:process(rst, nu1,nu2,nu3,nu4,back) --判断抢答信号beginif rst='1' then back<='1';s<="0000";elsif back='1' thenif nu1='1' then s<="0001";back<='0'; --一号台抢答,输出S为1 elsif nu2='1' then s<="0010";back<='0'; --二号台抢答,输出S为2elsif nu3='1' then s<="0011";back<='0'; --三号台抢答,输出S为3 elsif nu4='1' then s<="0100";back<='0'; --四号台抢答,输出S为4 else back<='1'; s<="0000"; --无人抢答,输出S为0end if ;end if;end process p1;p2:process(clk,en,back,rst,cnt)beginif rst='1' then cnt<="000";warnd<='0';elsif clk'event and clk='1' thenif en='0' and back='0' thenif cnt<"111" then warnd<=not warnd; cnt<=cnt+1;else warnd<='0';end if; end if;end if;end process p2;warn<=warnd;end jianbiebeh;鉴别保持模块由两个进程组成,进程一主要用于鉴别强大信号,进程二用于鉴别是否为超前抢答,若是超前抢答,则输出报警信号。
电子技术应用实验报告(八)学生姓名:沈嘉俊学号:2012042020018一.实验项目名称:4人智力竞赛用抢答器实验内容:设计一个4人智力竞赛用抢答器电路具体要求为:1.每个参赛者控制一个按钮,用于按动按钮发出抢答信号;2.竞赛主持人另有一个按钮,用于将电路复位;3.抢答器具有锁存功能,竞赛开始后,先按动按钮者将对应的一个led灯点亮,伺候其他三人再按动按钮对电路不起作用,知道主持人将电路复位为止。
在上述功能完成后,可增加以下功能:(1)用LED数码管显示抢答成功选手编号。
(2)加入倒计时功能。
当主持人复位电路开始抢答时,自动启动60秒倒计时,时间到后数码管显示00并报警,直到主持人将电路复位。
二.实验内容及要求:设计满足要求的电路,并在multisim中进行电路连接,仿真和调试。
三.电路模块及其仿真:4人智力竞赛抢答电路分为两个模块,一个是抢答电路,一个是倒计时电路。
1.倒计时电路:主要通过555构成的多谐振荡器产生1HZ的脉冲作为时钟源,利用两个74LS169(可逆计数器)进行减法计数,利用与非门分别实现六进制和十进制的减法计数并进行级联从而实现60秒的倒计时功能,并且当主持人按下复位键时,利用与非门将两个计数器的加载端激活,使得输出为59(提前在预设段设好的),其电路单元为:利用与非门,计数器U14当输入为0000时,利用预设端将输出置为1001(即9),从而实现从9到0减法计数;而将计数器U18在输入为0000时,将输出置为0101(即5),从而实现从5到0的减法计数。
2.抢答电路:主要完成的功能是实现4路选手抢答并进行锁存,同时有相应发光二极管点亮和数码显示。
主要利用74LS373(八路锁存器)和74LS148(优先编码器)实现。
通过锁存器接收到最先按下按键的选手(假设按下后产生高电平),并在其输出端利用或非门使其输出和主持人的控制信号经过或门接到74LS373使能端,从而实现自锁且主持人可以进行复位。
四人抢答器实验报告四人抢答器实验报告一、引言在现代教育中,互动式教学已经成为一种流行趋势。
为了激发学生的学习兴趣,提高课堂互动性,教育界不断探索新的教学工具和方法。
本实验旨在测试四人抢答器在课堂中的应用效果,以评估其对学生学习积极性和参与度的影响。
二、实验设计1. 实验对象:本实验选取了一所中学的两个高中班级作为实验对象,分别为实验组和对照组。
2. 实验组:实验组使用四人抢答器进行课堂互动。
抢答器由四个按钮和一个显示屏组成,学生可以通过按下按钮来回答问题。
3. 对照组:对照组采用传统的课堂互动方式,学生通过举手回答问题。
4. 实验流程:实验组和对照组的学生在进行相同的课程内容学习后,分别进行抢答器和举手回答的课堂互动。
三、实验结果1. 学生参与度:通过观察实验组和对照组学生的参与度,发现实验组学生更加积极主动地回答问题。
抢答器的使用使得学生们更加主动地参与到课堂互动中,不再依赖于教师提问。
2. 学习积极性:实验组学生在使用抢答器后表现出更高的学习积极性。
他们对于回答问题的渴望和竞争意识增强,积极主动地思考和学习。
3. 课堂氛围:实验组的课堂氛围更加活跃。
学生之间的互动更加频繁,他们在回答问题时互相竞争,激发了彼此的学习动力。
四、讨论与分析1. 抢答器的优势:抢答器的使用可以有效提高学生的参与度和学习积极性。
它创造了一种竞争的氛围,激发了学生的学习兴趣。
同时,抢答器还可以帮助教师了解学生的学习情况,及时调整教学策略。
2. 抢答器的限制:虽然抢答器在提高学生参与度方面有明显优势,但也存在一些限制。
首先,抢答器可能会导致部分学生焦虑和压力增加,影响其学习效果。
其次,抢答器只是一种课堂互动工具,不能完全替代教师的教学能力和方法。
3. 教师的角色转变:抢答器的应用使得教师的角色发生了一定的转变。
教师不再是简单地提问者,而是更多地扮演着引导者和指导者的角色。
教师需要根据学生的回答情况,及时给予反馈和指导,促进学生的全面发展。
四人智力抢答器报告1. 引言智力抢答器是一种基于技术的游戏设备,它可以用来测试参与者的智力和反应能力。
本报告将介绍一个基于四人智力抢答器的设计,并详细描述其工作原理和实现过程。
2. 设计思路为了实现一个功能完善的四人智力抢答器,我们需要考虑以下几个方面:2.1 硬件设计首先,我们需要设计一个抢答器的外壳。
外壳可以采用塑料材料,并具有四个按钮和一个显示屏。
按钮用于参与者抢答,显示屏用于显示问题和答案。
2.2 电路设计抢答器需要使用一个微控制器来控制按钮和显示屏的工作。
我们可以选择一个小型的单片机作为控制器,并通过引脚连接按钮和显示屏。
2.3 软件设计在软件设计方面,我们需要实现以下几个功能: - 显示问题和答案 - 监测参与者的抢答情况 - 记录参与者的得分 - 控制显示屏的显示3. 工作原理四人智力抢答器的工作原理如下:1.启动抢答器后,显示屏会显示一个问题。
2.参与者可以通过按下按钮来抢答。
当有参与者按下按钮时,抢答器会记录下按下按钮的参与者编号,并显示该参与者的编号。
3.如果参与者按下按钮之前没有其他参与者抢答,那么抢答者的得分会增加一分。
4.如果多个参与者同时按下按钮,那么抢答器会判断哪个参与者先按下按钮,并记录下先按下按钮的参与者编号,并显示该参与者的编号。
5.在每轮抢答结束后,抢答器会显示正确答案,并根据参与者的抢答情况,更新参与者的得分。
6.游戏结束后,抢答器会显示每个参与者的最终得分,并宣布获胜者。
4. 实现过程在实现过程中,我们需要进行以下几个步骤:4.1 硬件制作首先,我们需要制作抢答器的外壳。
可以使用3D打印技术或其他适合的材料制作外壳,并安装按钮和显示屏。
4.2 电路连接将按钮和显示屏连接到微控制器的引脚上。
确保按钮和显示屏能够正常工作,并与微控制器进行通信。
4.3 软件编程使用合适的编程语言对微控制器进行编程。
编写程序以实现显示问题和答案、监测参与者的抢答情况、记录参与者的得分以及控制显示屏的显示等功能。
四人抢答器实验报告实验名称:四人抢答器实验报告摘要:本实验通过设计制作一个四人抢答器,用于多人同时参与的抢答游戏。
实验旨在考察多人协作、反应速度和竞争等因素对抢答游戏结果的影响。
实验过程中,四名参与者通过按下按钮进行抢答,并通过电子显示器显示抢答结果。
设计方案:1. 硬件设计:- 使用Arduino开发板和数字输入输出模块实现四人按钮的输入和显示器的输出。
- 每个参与者使用一个按钮,按钮按下时触发相应的输入信号,并与Arduino连接。
- 所有参与者共享一个显示器,显示当前抢答结果。
2. 软件设计:- 使用Arduino的编程语言完成抢答器的逻辑控制,包括按钮输入和显示器输出。
- 设定抢答的计时规则,每次按下按钮后,根据时间先后确定抢答顺序,并将结果显示在电子显示器上。
实验步骤:1. 硬件连接:- 将四个按钮分别与Arduino的数字输入引脚连接。
- 将显示器与Arduino的数字输出引脚连接。
2. 软件编程:- 使用Arduino的编程语言编写抢答器的逻辑控制程序。
- 设定按钮输入的检测函数,实时检测按钮是否按下。
- 设定抢答的计时规则,记录每个参与者按下按钮的时间。
- 判断抢答顺序,并将结果显示在电子显示器上。
3. 实验操作:- 将四名参与者分别分配一个按钮,并让他们熟悉操作。
- 主持人宣布开始抢答后,参与者按下按钮进行抢答。
- 根据电子显示器上的提示,确认抢答结果。
实验结果和讨论:通过实验观察,可以记录下每个参与者按下按钮的时间,根据时间先后确定抢答顺序。
实验结果可通过电子显示器实时显示,方便观察和分析。
根据实验结果可以比较不同参与者的反应速度和正确率,以及多人协作和竞争对抢答结果的影响。
结论:通过四人抢答器实验,可以有效考察多人协作、反应速度和竞争等因素对抢答游戏结果的影响。
实验结果可用于评估参与者的抢答能力,并为相关游戏的设计和优化提供参考。
数电课程设计实验报告设计课题:四人抢答器学院:信息工程学院专业: 通信工程班级:学号:姓名:指导老师:四人抢答器实验报告一. 实验目的1.学习并掌握抢答器的工作原理及其设计方法2.熟悉各个芯片的功能及其各个管脚的接法。
3.灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。
二. 设计任务与要求1.设计任务设计一台可供4名选手参加比赛的竞赛抢答器。
选手抢答时, 数码显示选手组号。
2.设计要求:抢答器的基本功能:1.设计一个智力抢答器, 可同时供四名选手或四个代表队参加比赛, 编号为一, 二, 三, 四, 各用一个抢答按钮, 分别用四个按钮S0——S3表示。
2.给节目主持人设置一个控制开关, 用来控制系统的清零(编号显示数码管清零)。
3.抢答器具有数据锁存和显示的功能, 抢答开始后, 若有选手按动抢答按钮, 编号立即锁存, 并分别让四盏LED亮来表示, 此外, 要封锁输入电路, 实现优先锁存, 禁止其他选手抢答, 优先抢答选手的编号一直保持到主持人将系统清零为止。
简言之, 有选手按下时, 显示不同选手的灯会亮。
同时, 其他人再按下时电路不做任何处理。
也就是说, 如果有选手按下以后, 别的选手再按的话电路不会显示所代表的的LED灯亮。
4.可用555定时器产生频率为1kHZ的脉冲信号, 作为触发器的CP信号。
三. 四人竞赛抢答器电路原理及设计系统原理框图:1.设计方案抢答器具有锁存、响铃、显示。
即当抢答开始后, 选手抢答按动按钮, 锁存器锁存相应的选手编码, 同时用代表不同选手的LED显示出来, 蜂鸣器响铃。
接通电源后, 主持人将开关拨到“清除”状态, , 编号显示器为0, 此时才可以再次抢答。
选手在抢答时, 抢答器完成:编号锁存、编号灯显示, 响铃。
2.单元电路设计及元器件选择(1)抢答电路电路如图2所示。
该电路完成两个功能: 一是分辨出选手按键的先后, 并锁定74LS175的功能真值表即优先抢答者的编号, 同时代表不同选手的LED灯电路亮, 蜂鸣器鸣叫;二是要使其他选手随后的按键操作无效。
四人抢答器实验报告一、引言最近,我们进行了一个有趣而富有挑战性的实验,使用了四人抢答器。
这个实验旨在探索四人协作的能力与反应速度,在不同的知识领域中,通过竞争的方式进行抢答,以了解团队合作对于个体表现的影响。
二、实验设计与方法1. 实验设备为了完成实验,我们使用了一套四人抢答器设备,其中包括一套用于显示题目的屏幕和四个抢答按键。
这个设备使用了先进的无线技术,确保了抢答的公平性。
2. 实验过程我们请来了四名志愿者参加实验。
在每一轮实验中,屏幕会显示出一个问题,并且四个抢答按键会亮起。
当志愿者认为自己知道答案时,就会迅速按下抢答按键。
系统会记录下按键的顺序,并将正确答案显示在屏幕上。
实验设置了多个不同领域的知识题目,包括科学、历史、文学等。
题目的难度也从简单到困难不一。
3. 数据分析方法我们对实验数据进行了统计和分析。
首先,我们计算了每次抢答中四个志愿者的平均反应时间,以及正确率。
然后,我们比较了不同题目领域的表现差异,并探讨了团队合作对于个体表现的影响。
三、实验结果通过对实验数据的分析,我们得到了以下结果:1. 平均反应时间我们发现,在整个实验过程中,志愿者们的平均反应时间逐渐缩短。
这表明他们逐渐适应了整个抢答过程,并提高了自己的反应速度。
2. 正确率志愿者们在不同题目领域中的正确率存在一定的差异。
在科学领域和历史领域,他们的正确率较高;而在文学领域和艺术领域,他们的正确率相对较低。
这可能与个体的知识背景和兴趣相关。
3. 团队合作对个体表现的影响我们观察到,在抢答过程中,团队合作起到了积极的促进作用。
当一个志愿者抢答错误时,其他志愿者有时会能够迅速补充正确答案,从而提高整个团队的正确率。
这显示出团队合作对于个体表现的积极影响。
四、讨论与启示通过这个实验,我们得出了以下结论与启示:1. 团队合作对于个体表现有积极影响:团队合作能够帮助个体更好地应对挑战,提高正确率,并缩短反应时间。
2. 个体的知识背景和兴趣影响抢答效果:志愿者在不同领域的正确率存在差异,这与他们的知识背景和兴趣有关。
四人抢答器实验报告实验目的,通过自制四人抢答器,测试参与者在不同条件下的反应速度和准确性。
实验材料,Arduino开发板、按钮开关、LED灯、蜂鸣器、导线、面包板、电阻等。
实验步骤:1. 搭建四人抢答器的电路。
首先,将Arduino开发板连接到面包板上,然后连接四个按钮开关、LED灯和蜂鸣器,确保每个元件都能正常工作。
2. 编写程序。
利用Arduino开发板的编程软件,编写四人抢答器的程序,使其能够实现按下按钮后,LED灯亮起并发出声音。
3. 进行实验。
邀请四名参与者进行实验,他们坐在四个按钮开关旁,准备好后,进行实验。
实验分为两个阶段:a. 第一阶段,正常情况下的抢答。
主持人随机出题,参与者按下按钮进行抢答,抢答正确者LED灯亮起并发出声音,同时记录下抢答的时间。
b. 第二阶段,加入干扰因素。
在第二阶段,我们在参与者抢答时加入一些干扰因素,比如在LED灯亮起后再亮起其他LED灯或者发出噪音等,测试参与者在干扰情况下的反应能力。
实验结果分析:通过实验,我们得出了一些结论:1. 在正常情况下,参与者的反应速度和准确性较高,大部分人能够快速按下按钮进行抢答,并且抢答正确率较高。
2. 在加入干扰因素后,参与者的反应速度和准确性明显下降,部分人甚至出现了按错按钮的情况,说明干扰因素对参与者的抢答能力产生了一定的影响。
结论:通过本次实验,我们验证了四人抢答器在测试参与者反应能力方面的有效性。
参与者在正常情况下表现出较高的反应速度和准确性,但在加入干扰因素后,反应能力明显下降。
因此,在实际应用中,需要考虑到干扰因素对测试结果的影响,以保证测试结果的准确性。
总结:本次实验不仅验证了四人抢答器的可行性,也对参与者在不同条件下的反应能力进行了测试。
通过本次实验,我们对抢答器的制作和使用有了更深入的了解,也为进一步研究参与者的反应能力提供了有益的参考。
以上就是本次四人抢答器实验的报告内容,谢谢阅读。
四人抢答器报告一、背景在各类游戏节目以及竞赛中,抢答环节是一种常见而受欢迎的节目形式。
传统的抢答方式一般是参与者手持答题器,当主持人或者屏幕上显示答题题目后,参与者按下答题器上的按钮进行抢答,并通过闪灯、声音等方式来判断谁先按下按钮。
然而,这种传统的抢答方式存在着许多问题,比如不准确判断先后顺序、抢答设备复杂等。
为了解决这些问题,我们设计了一种新型的四人抢答器,来提供更准确和便捷的抢答体验。
本报告将详细介绍这个四人抢答器的设计原理、实现方式以及使用效果。
二、设计原理1. 硬件设计四人抢答器的硬件设计主要包括四个按钮和一个控制器。
每个按钮都连接到控制器上的一个独立的输入引脚,并且每个按钮都带有一个独立的LED指示灯。
在抢答过程中,当有参与者按下按钮时,相应的LED指示灯将点亮。
控制器还具备一个显示屏,用于显示抢答结果以及其他相关信息。
2. 软件设计四人抢答器的软件设计主要包括两个部分:控制器程序和参与者程序。
控制器程序负责接收按钮信号,判断先后顺序,并在显示屏上显示相应的抢答结果。
当控制器接收到某个按钮按下的信号后,它会根据先后顺序点亮相应的LED指示灯,并将抢答结果显示在显示屏上。
同时,控制器还可以记录每个参与者的得分,并在需要时进行清零。
参与者程序负责在按钮按下时发送信号给控制器。
每个参与者使用一个单独的设备运行参与者程序,通过按下按钮发送信号。
参与者程序会将按钮按下的信号发送给控制器,并根据抢答结果进行相应的反馈。
三、实现方式1. 硬件实现我们使用Arduino作为四人抢答器的控制器,并通过四个按钮和四个LED指示灯连接到Arduino的输入输出引脚上。
按钮和LED指示灯可以通过面包板连接到Arduino。
我们还将一个1602液晶显示屏连接到Arduino的I2C接口上,用于显示抢答结果和其他信息。
2. 软件实现在Arduino上,我们使用C++语言编写了控制器程序和参与者程序。
控制器程序使用Arduino的DigitalRead和DigitalWrite函数来读取按钮的状态和控制LED的亮灭。
数电四人抢答器实验报告一、引言数电实验是电子信息类专业中非常重要的实践环节之一。
在这个实验中,我们将设计一个四人抢答器,用以提高学生的学习兴趣和积极性。
本实验报告将详细介绍实验设备、实验原理、实验过程、实验结果和实验结论。
二、实验设备为完成这个实验,我们需要的设备如下: 1. 电路板:用于搭建电路。
2. 电路元件:包括逻辑门、继电器、按键等。
3. 电源:提供电路运行所需的电力。
4. 显示器:用于显示抢答的结果。
5. 电子元器件:如电阻、电容等。
三、实验原理1. 抢答器电路设计原理抢答器是由逻辑门、继电器和按键构成的。
逻辑门用于控制继电器的开关,按键用于触发抢答动作。
当按键按下时,逻辑门输出一个信号,控制继电器的闭合动作,再通过继电器控制显示器的亮灭,实现抢答结果的显示。
2. 抢答器工作原理抢答器工作原理如下: 1. 初始状态下,逻辑门输出低电平,继电器处于断路状态,显示器关闭; 2. 当一个人按下按键时,逻辑门输入高电平,逻辑门输出高电平,继电器闭合,显示器亮起; 3. 当有人抢答成功后,其他人按下按键均不会触发抢答动作,显示器继续保持亮起状态; 4. 当抢答成功者释放按键后,逻辑门输入电平变为低电平,逻辑门输出低电平,继电器断路,显示器关闭。
四、实验过程1. 硬件搭建根据实验原理,我们开始搭建实验所需的电路。
首先,我们在电路板上连接逻辑门、继电器和按键。
此外,还需要连接电源和显示器。
2. 电路测试在搭建完电路后,我们进行了电路测试。
通过按下按键,观察继电器和显示器的状态变化,验证电路的正确性。
如果测试结果不符合预期,我们会检查电路连接和元件的质量,确保没有问题。
3. 实验操作完成电路测试后,我们开始进行实验操作。
实验操作包括以下步骤: 1. 将抢答器电路连接到计算机,并打开电源; 2. 按下按键,观察显示器的状态变化; 3. 释放按键,再次观察显示器的状态变化; 4. 复位电路,准备下一轮实验。
福州大学电气工程与自动化10级
设计性实验报告
实验目的:
1、掌握电路板焊接技术;
2、学习调试系统电路,提高实验技能;
3、了解竞赛抢答器的工作原理及其结构。
实验所用原件清单:
芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:
R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2
原理(包括主要公式、电路图):
如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。
抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。
同时,倒计时数码管被置为9。
抢答开始,RD’置1,倒计时开始。
若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。
若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。
利用RD’清零,进入下一次抢答。
心得体会及其他:
1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。
2、故障排查:
(1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。
(2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。
(3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用
万用表逐一管脚检查。