通信原理设计报告(7-4)汉明码的编解码设计

  • 格式:doc
  • 大小:382.50 KB
  • 文档页数:26

下载文档原格式

  / 26
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录

前言...............................................................1第1章设计要求..................................................3

第2章QuartusⅡ软件介绍.......................................4

第3章汉明码的构造原理........................................6 3.1 (7,4)汉明码的构造原理...........................................6

3.2 监督矩阵H与生成矩阵G..........................................7

3.3 校正子(伴随式S)...............................................8第4章(7,4)汉明码编码器的设计...............................10

4.1 (7,4)汉明码的编码原理及方法....................................10

4.2 (7,4)汉明码编码程序的设计......................................10

4.3 (7,4)汉明码编码程序的编译及仿真................................11

第5章(7,4)汉明码译码器的设计.............................12

5.1 (7,4)汉明码的译码方法.........................................12

5.2 (7,4)汉明码译码程序的设计....................................13 5.3 (7,4)汉明码译码程序的编译及仿真..............................15第6章(7,4)汉明码编译码器的设计..........................17

6.1 (7,4)汉明码编译码器的设计...................................17参考文献.........................................................18体会与建议.......................................................19附录..............................................................20

前言

汉明(Hamming)码是一种能够纠正一位错码或检测两位错码的一种效率较高的线性分组码。本次课程设计的任务就是利用EDA技术在Quartus II软件下用VHDL语言实现(7,4)汉明码的编译码的设计和仿真。从而进一步加深对汉明码编译码原理的理解。

EDA(Electronic Design Automation)技术是随着集成电路和计算机技术飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。目前,VHDL语言已经成为EDA的关键技术之一,VHDL是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级三个不

同层次的设计,支持结构、数据流、行为三种描述形式的混合描述,覆盖面广,抽象能力,因此在实际应用中越来越广泛。

VHDL语言具有功能强大的语言结构,可用明确的代码描述复杂的控制逻辑设计,并且具有多层次的设计描述功能,支持设计库和可重复使用的元件的生成,近几十年来,EDA技术获得了飞速的发展,它以计算机为平台,根据硬件描述语言VHDL,自动地完成逻辑编译,化简分割、综合及优化,布局布线,仿真直至对特定目标芯片的适配编译,逻辑映射和编程下载等工作,以自顶向下的设计方法,使硬件设计软件化,摆脱了传统手工设计的众多缺点,随着EDA技术的深入发展,基于硬件描述语言的方法将由取代传统手工设计方法的趋势。

第1章设计要求

1、采用VHDL语言输入法进行设计;

2、根据(7,4)汉明码的编解码原理,确定编解码器具体设计方案;

3、画出(7,4)汉明码的编解码的程序设计流程图;

4、编写VHDL源程序、调试及仿真时序波形。

第2章QuartusⅡ软件介绍

●QuartusⅡ软件

QuartusⅡ是Altera公司推出的CPLD/FPGA的开发工具,QuartusⅡ提供了完全集成且于电路结构无关的开发环境,具有数字逻辑设计的全部特性。

QuartusⅡ设计软件提供完整的多平台设计环境,可以很轻松地满足特定设计的需要。它是可编程片上系统(SOPC)设计的综合性环境,拥有FPGA和CPLD设计的所有阶段的解决方案。与其他EDA软件相比较,QuartusⅡ软件的主要特点包括:

可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件。

芯片(电路)平面布局连线编辑。

LogicLock增量设计方法,用户建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块、

功能强大的逻辑综合工具。

完备的电路功能仿真与时序逻辑分析、

定时/时序分析与关键路径延时分析。

可使用SignalTapⅡ逻辑分析工具进行嵌入式的逻辑分析。

支持软件源文件的添加和创建,并将它们链接起来生成编程文件。

使用组合编译方式可一次完成整体设计流程。

自动定位编译错误、

高效的器件编程与验证工具。

可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件。

能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

●VHDL语言

VHDL语言主要用于描述数字系统的结构、行为、功能和接口,除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一