VHDL2006A答案
- 格式:doc
- 大小:481.00 KB
- 文档页数:14
填空题:1、一般将一个完整的VHDL程序称为设计实体2、VHDL设计实体的基本结构由(库)、(程序包)、(实体)、(结构体)和(配置)组成。
3、(实体)和(结构体)是设计实体的基本组成部分,它们可以构成最基本的VHDL 程序。
4、根据VHDL语法规则,在VHDL程序中使用的文字、数据对象、数据类型都需要(事先声明)。
5、在VHDL中最常用的库是(IEEE)标准库,最常用的数据包是(STD_LOGIC_1164)数据包。
6、VHDL的实体由(实体声明)部分和(结构体)组成。
7、VHDL的实体声明部分指定了设计单元的(输入出端口)或(引脚),它是设计实体对外的一个通信界面,是外界可以看到的部分。
8、VHDL的结构体用来描述实体的(逻辑结构)和(逻辑功能),它由VHDL 语句构成,是外界看不到的部分。
9、在VHDL的端口声明语句中,端口方向包括(输入)、(输出)、(双向)和(缓冲)。
10、VHDL的标识符名必须以(字母开头),后跟若干字母、数字或单个下划线构成,但最后不能为(下划线)11、VHDL的数据对象包括(常量)、(变量)和(信号),它们是用来存放各种类型数据的容器。
12、为信号赋初值的符号是(:=);程序中,为变量赋值的符号是(:=),为信号赋值的符号是(<=)13、VHDL的数据类型包括(标量类型)、(复合类型)、(存储类型)和(文件类型)。
14、在VHDL中,标准逻辑位数据有(九)种逻辑值。
15、VHDL的操作符包括(逻辑)、(算术)、(关系)和(并置)四类。
选择题:1、IEEE于1987年公布了VHDL的(A)语法标准。
A、IEEE STD 1076-1987;B、RS232;C、IEEE STD_LOGIC_1164;D、IEEE STD 1076-1993;2、IEEE于1987年公布了VHDL的(D)语法标准。
A、IEEE STD 1076-1987;B、RS232;C、IEEE STD_LOGIC_1164;D、IEEE STD 1076-1993;3、VHDL的设计实体可以被高层次的系统(D ),成为系统的一部分。
VHDL程序设计教程习题参考解答第1章思考题解答1.什么是VHDL?简述VHDL的发展史。
答:VHDL是美国国防部为电子项目设计承包商提供的,签定合同使用的,电子系统硬件描述语言。
1983年成立VHDL语言开发组,1987年推广实施,1993年扩充改版。
VHDL 是IEEE标准语言,广泛用于数字集成电路逻辑设计。
2.简述VHDL设计实体的结构。
答:实体由实体名、类型表、端口表、实体说明部分和实体语句部分组成。
根据IEEE标准,实体组织的一般格式为:ENTITY 实体名 IS[GENERIC(类型表);] --可选项[PORT(端口表);] --必需项实体说明部分; --可选项[BEGIN实体语句部分;]END [ENTITY] [实体名];3.分别用结构体的3种描述法设计一个4位计数器。
答:用行为描述方法设计一个4位计数器如下,其它描述方法,读者可自行设计。
LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all;ENTITY countA ISPORT (clk,clr,en:IN STD_LOGIC;Qa,qb,qc,qd:OUT STD_LOGIC);END countA;ARCHITECTURE example OF countA ISSIGNAL count_4:STD_LOGIC_vector (3 DOWNTO 0);BEGINQa <= count_4(0);Qb <= count_4(1);Qc <= count_4(2);Qd <= count_4(3);PROCESS (clk,clr)BEGINIF (clr = '1' ) THENCount_4 <= "0000";ELSIF (clk'EVENT AND clk = '1' ) THENIF (en = '1' ) THENIF (count_4 = "1111") THENcount_4 <= "0000";ELSEcount_4 <= count_4+ '1';END IF;END IF;END IF;END PROCESS;END example;第2章思考题解答1.什么叫对象?对象有哪几个类型?答:在VHDL语言中,凡是可以赋于一个值的客体叫对象(object)。
填空题1、在实际的数字系统中,某些动作是互相独立,可以在同一时间执行的,在VHDL 语言中应该使用并行描述语句来对这些动作进行描述。
2、当信号代入语句在进程内部使用时,它将以顺序语句的形式出现,当其在结构体的进程之外使用时,它将以并行语句的形式出现。
3、选择信号代入语句的并发操作可以在进程外实现进程内的CASE语句的功能。
4、PROCESS语句不是一条单纯的程序语句,而是一个程序结构,该程序结构起始于进程的标识符,终止于END PROCESS。
5、在进程语句中可以定义变量(VARIABLE)而不能定义信号量(SIGNAL),其等效于开辟了一个存储空间,6、如果进程中既没有敏感信号列表,又没有WAIT语句,那么该进程将陷入无限循环中。
7、元件调用语句(COMPONENT)语句的结构中,GENERIC语句进行元件的参数说明,PORT语句进行元件的端口说明8、端口映射有两种方法:端口位置映射和端口名称映射。
9、块(BLOCK)语句可以分为普通BLOCK语句和卫式BLOCK语句。
10、在VHDL语言程序中,子程序有两种:一是过程(PROCEDURE),二是函数(FUNCTION)。
11、过程语句和函数语句的最主要的区别是函数语句可以有返回值。
12、在VHDL语言中信号的延迟分两种模型,惯性延时和传输延时。
综述题1、在一个构造体中块结构和进程结构的区别是什么?答案:●一个构造体中的各个块是并行执行的,与书写顺序无关。
●每个块里的各个语句也是并行执行的,与书写顺序无关。
●一个构造体中的各个进程是并行执行的,与书写顺序无关。
●每个进程里的各个语句是顺序执行的,与书写顺序有关。
●在一个构造体中,块里面可以包含多个进程,各个进程是并行执行的。
●在一个构造体中,块与进程可以并列,各个块和进程都是并行执行的。
●在一个构造体中,进程里面不能包含块结构。
因为进程里面是顺序执行语句,而块语句结构是并行执行的。
2、简述什么叫并发过程调用语句以及其使用要点。
一、简答题1、VHDL语言程序的基本结构?实体、结构体、配置、包集合、库。
2、信号和变量的区别?①信号用于作为电路中的信号连线,变量用于作为进程中局部数据存储单元;②信号是全局量在整个结构体内的任何地方都可以使用,变量是局部量只能在进程、子程序内部定义和使用;③信号代入语句采用“<=”代入符,该语句即使被执行也不会使信号立即发生代入,变量的赋值符号为“:=”,在变量赋值语句中,语句一旦被执行,其值立即被赋予变量。
3、可编程逻辑器件的优点?①集成度高,极大的减小电路的面积,降低功耗,提高可靠性;②具有完善先进的开发工具,提供语言、图形等设计方法,十分灵活,通过仿真工具来验证设计的正确性;③可以反复地擦除、编程,方便设计的修改和升级;④灵活地定义管教功能,减轻设计工作量,缩短系统开发时间;⑤保密性好。
4、函数与过程的异同?相同点:函数与过程都是子程序,主函数调用它们以后能将处理结果返回主程序;函数与过程中的语句都是顺序执行的。
不同点:过程的参数可以是输入,也可以是输出,函数的参数都是输入参数;函数只有一个输出,只能通过函数体内的RETURN语句来实现,函数体内不能有信号赋值语句,而过程却可以有不止一个输出,而且是通过过程体内的信号赋值语句或者变量赋值语句来实现的;函数的调用只能通过表达式来实现,过程的调用则是通过过程调用语句来实现的。
5、CPLD与FPGA的异同?①FPGA采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的数据丢失。
因此,需在FPGA外加EPROM,将配置数据写入其中,系统每次上电自动将数据引入SRAM中。
CPLD器件一般采用EEPROM存储技术,可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保密。
②FPGA器件含有丰富的触发器资源,易于实现时序逻辑,如果要求实现较复杂的组合电路则需要几个器件结合起来实现。
CPLD的与或阵列结构,使其适于实现大规模的组合功能,但触发器资源相对较少。
vhdl课后习题答案VHDL课后习题答案在学习VHDL(VHSIC Hardware Description Language)这门课程时,课后习题是巩固知识、提高能力的重要方式。
通过认真完成课后习题并查看答案,我们可以更好地理解和掌握VHDL的相关知识,提高自己的编程能力。
下面我们将通过几个典型的VHDL课后习题答案来展示VHDL的魅力和应用。
1. 课后习题一题目:使用VHDL编写一个简单的门电路,包括AND门、OR门和NOT门,并进行仿真验证。
答案:首先,我们定义AND门、OR门和NOT门的输入输出信号。
然后,使用VHDL语言编写各个门电路的逻辑实现,并进行仿真验证。
最后,我们可以观察仿真波形图,验证门电路的功能是否符合预期。
2. 课后习题二题目:使用VHDL编写一个4位全加器,并进行综合、布线和时序分析。
答案:首先,我们定义全加器的输入输出信号。
然后,使用VHDL语言编写4位全加器的逻辑实现,并进行综合、布线和时序分析。
最后,我们可以观察综合和布线报告,分析全加器的资源利用情况和时序性能。
3. 课后习题三题目:使用VHDL编写一个有限状态机(FSM),实现一个简单的计数器,并进行状态转移图和状态转移表的分析。
答案:首先,我们定义有限状态机的状态和状态转移条件。
然后,使用VHDL 语言编写有限状态机的逻辑实现,并进行状态转移图和状态转移表的分析。
最后,我们可以观察状态转移图和状态转移表,验证有限状态机的状态转移是否符合预期。
通过以上几个VHDL课后习题答案的展示,我们可以看到VHDL在数字电路设计和硬件描述方面的强大应用。
通过学习VHDL并完成课后习题,我们可以提高自己的编程能力,掌握数字电路设计的基本原理和方法。
希望大家在学习VHDL的过程中能够认真完成课后习题,并不断提高自己的编程水平。
2006 National English Contest for College StudentsLevel A - FinalPart I Listening Comprehension(25 minutes, 30 points)Section A Short Conversations(6 points)Directions:In this section, you will hear 6 short conversations. At the end of each conversation, a question will be asked about what was said. Both the conversation and the question will be read only once. After each question, there will be a pause. During the pause, you must read the four choices marked A, B, C and D, and decide which is the best answer. Then mark the corresponding letter on the Answer Sheet with a single line through the centre.1. A. Repair the car. B. Help his mum do her garden.C. Go sailing.D. Paint the flat.2. A. 10:15. B. 11:15. C. 1:55. D. 2:05.3. A. By plane. B. By coach. C. By train. D. By car.4. A. $ 16.50. B. $ 15.00. C. $ 12.50. D. $ 10.00.5. A. Because of the lorry accident. B. Because of the train strike.C. Because of the bus strike.D. Because of the car accident.6. A. In the sports center. B. In the library.C. In the lecture room.D. In the cinema.Section B Long Conversation(4 points)Directions:In this section, you will hear one long conversation. At the end of the conversation, 4 questions will be asked about what was said. You will hear both the conversation and the questions only once. After each question, there will be a pause. During the pause, you must read the four choices marked A, B, C and D, and decide which is the best answer. Then mark the corresponding letter on the Answer Sheet with a single line through the centre.7. A. 1:15. B. 2:00. C. 1:30. D. 3:30.8. A. 25 pence. B. 50 pence. C. 75 pence. D. 95 pence.9. A. 4 weeks. B. 6 weeks. C. 8 weeks. D. 10 weeks.10. A. A pen. B. A school bag. C. A book. D. A pencil.Section C News Items (10 points)Directions:In this section, you will hear 10 short pieces of news from BBC or VOA. After each news item and question, there will be a pause. During the pause, you must read the three choices marked A, B and C, and decide which is the best answer. Then mark the corresponding letter on the Answer Sheet with a single line through the centre.11. A. 148 billion. B. 140 million. C. 1480 million.12. A. Five. B. Four. C. Three.13. A. Romania. B. Slovakia. C. Czech Republic.14. A. Whale. B. Dolphin. C. Shark.15. A. Ang Lee. B. Steven Spielberg. C. Both of them.16. A. Russia and the UK.B. Russia and other former Soviet Republics.C. Russia and Ukraine.17. A. Ban smoking in indoor public places. B. Ban smoking in all public places.C. Improve the health care system.18. A. More than 35,000. B. More than 2,600. C. More than 4,700.19. A. Mobile phone gambling is on the rise in Europe.B. Mobile phone gambling is on the rise in Australia.C. Mobile phone gambling is on the rise in Asia.20. A. The north-eastern part . B. The south-eastern part.C. The north-western part.Section D Passages (10 points)Directions:In this section, you will hear 2 passages. At the end of each passage, you will hear 4 or 6 questions. After you hear a question, you must choose the best answer from the four choices marked A, B, C and D. Then mark the corresponding letter on the Answer Sheet with a single line through the centre.Passage OneQuestions 21 to 24 are based on the passage you have just heard.21. A. The children were very naughty.B. They lived in the countryside far away from other people.C. They had moved to a new town and didn't know many people yet.D. Everyone was busy and couldn't baby-sit.22. A. She was sick. B. She wasn't home.C. She was busy.D. She was going out soon.23. A. She felt happy. B. She felt lonely. C. She felt relaxed. D. She felt angry.24. A. The people thought she was a bank robber.B. Everyone else started shouting, too.C. Many people ran out of the bank.D. Everyone stood against the wall with their hands up.Passage TwoQuestions 25 to 30 are based on the passage you have just heard.25. A. 9:00 to 10:00. B. 9:00 to 10:30. C. 9:30 to 11:00. D. 9:30 to 11:30.26. A. Early in the morning. B. Before lunch.C. At lunchtime.D. After lunch.27. A. Ireland and Spain. B. Brazil and Ireland.C. Spain and Italy.D. Brazil and Italy.28. A. Cycling. B. Skiing. C. Football. D. Tennis.29. A. The year. B. The person. C. The story. D. The place.30. A. A comedy. B. A documentary. C. A musical. D. A thriller.Part II Vocabulary and Structure (5 minutes, 10 points)Directions:There are 10 incomplete sentences in this part. For each blank there are four choices marked A, B, C and D. Choose the one that best completes the sentence. Then mark the corresponding letter on the Answer Sheet with a single line through the centre.31. An animator has to build up his or her work frame by frame. Each film takes a very long time to make, and so __________ are essential to see the project through to the end.A. research and developmentB. continuity and relaxationC. diversions and rewardsD. dedication and commitment32. - How come you left the party without saying “good-bye”?- I was angry with John. He shouted at me and my patience __________.A. ran overB. ran awayC. ran throughD. ran out33. I'm a nervous wreck. We applied for a mortgage loan last week, and they __________ let us know this morning if it had been approved.A. were supposed toB. might haveC. would haveD. must go to34. We can't do any more now. Let's call it a __________.A. dayB. haltC. stopD. night35. Although we tend to think that they are basically the same animal, dolphins, porpoises, and fish are not alike. Despite how similar they might seem, these three animals __________ dolphins and porpoises are mammals while fish are not.A. different in thatB. different so thatC. differ in thatD. differ so that36. When Steve Fossett first attempted his solo balloon flight around the world, he __________ that he would encounter 10 days of thunderstorms over Argentina. Consequently, his balloon was damaged and he was forced to end his trip.A. wasn't anticipatingB. wouldn't anticipateC. had anticipated notD. had not anticipated37. Leslie's upset. She invited about 20 people to her house for a party and then no one showed up. The least they __________ have done was to call to say they __________ to go.A. might; were goingB. could; weren't goingC. might; weren't supposedD. should; were going38. I wish I __________ myself better in English, but I __________.A. will express; won'tB. could express; can'tC. would express; won'tD. can express; can't39. __________ the interview in Boston lasted so long, I missed my connecting flight to New Y ork.A. Due toB. WhenC. AsD. Despite40. John F. Kennedy was __________ youngest President of the United States and __________ to be murdered. Can you remember how long he __________ the country before his death?A. the; the fourth; had been rulingB. /; fourth; have been rulingC. the; fourth; was rulingD. a; the four; ruledPart III Situational Dialogues (5 minutes, 5 points)Directions:There are 5 incomplete dialogues in this part. For each dialogue there are four choices marked A, B, C and D. Choose the one that best completes the dialogue. Then mark the corresponding letter on the Answer Sheet with a single line through the centre.41. Mike: Why haven't more women chosen careers in science?Alice: Well, first of all, most children grow up with the idea that boys understand science more easily than girls do. This continues in the high school and collegeyears. Later, it's hard for a woman to get a job in science.Mike: ______________________________Alice:Well, no, I didn't. A career doesn't get in the way. My husband and children try to understand my work and they are willing to help me. I know manywomen scientists who have happily arrived and have families.A. Did you sacrifice a family for your work?B. Did you feel happy with your life?C. Did you have something interesting to share with us?D. Did you get a higher salary?42. Dave: What causes people to have stress?Tim: As I said, all humans have stress. The problem is too much stress for a long period of time. When this happens, a person is in trouble. V arious situationsgive rise to stress, such as losing your job, experiencing a divorce or death of afamily member, a serious illness, or getting hurt in a bad accident and so on.Dave: ______________________________Tim: First, make sure your diet is healthy by eating lots of fruit and vegetables. Next,exercise regularly. Y ou should also talk to a good friend or relative - someoneyou trust and who will listen to you. Sometimes just talking about problemshelps.A. Tell us what we can do in our spare time.B. Is it true that men generally have more stress than women?C. Tell us what we can do when we have too much stress.D. Do you think today's world is more stressful than the world of 50 years ago?43. Tom: What was your worst subject?Ron: Mm...that would be chemistry. I never could learn to like it very much, and my marks weren't ever very good. The chemical formulas were hard for me to understand,and in chemistry class there are a lot of chemical formulas!Tom:______________________________Ron: I've wanted to be involved in computer engineering ever since I was little. If I pass this interview and am offered a position with this company, I want tocontribute to improving technology and developing better computers. I want tobe a professional in this field.A. What do you think of computer engineering?B. How do you spend your free time?C. Did you enjoy your school life?D. Can you tell me about your hopes for future?44. Peter: ______________________________Diane: No, not all memories are correct, but they all tell us something about the person who is doing the remembering. The memory may tell us what theperson likes or dislikes, what he or she wishes, and it may also tell usabout his or her fears.Peter: ______________________________Diane: That's a good question. It's easier to remember things that have emotional meaning to you. It's also easier to remember information that you practiceand use a lot. Repetition also reinforces memory; the more you repeat something,the better you remember it.A. Are there different kinds of memory? ; What makes it easier for people to remember certain things?B. What makes it easier for people to remember certain things? ; Are all memories accurate?C. What aspects of brain biology interest you? ; Are all memories accurate?D. Are all memories accurate? ; What kind of things are easier for people to remember?45. Carla: ______________________________Angie: Well, I lived in a small town in the country once and it was quite boring.Nothing ever seemed to happen. There's much more going on in the city.It's more exciting.Carla: ______________________________Angie: Although the city is more exciting, it's also much noisier, dirtier and more crowded than the countryside. Sometimes I miss the peace and quiet there.A. Why are you living in the city?; How would you compare life in the city to that in the country?B. Why don't you live in the city now?; How would you compare life in the city to that in the country?C. Would you like to live in the country?; Why is the city better than the country?D. Why do you like living in the city?; What are the disadvantages of the country?Part IV IQ Test (5 minutes, 5 points)Directions:There are 5 IQ Test questions in this part. For each question there are 4 choices marked A, B, C and D. Mark your answer on the Answer Sheet with a single linethrough the centre.46. Christmas Day is on Friday. Three days after tomorrow is Christmas Day. Which of the following statements must be true?A. Today is Sunday.B. The day before yesterday was Saturday.C. Tomorrow is Wednesday.D. Yesterday was Monday.47. Malcolm, Mohammed, Lucy, Sally and Robin all have pets.Malcolm, Mohammed and Robin each have a dog.Malcolm has a cat.Sally loves horses but only has a rabbit and a snake.Mohammed also has a snake.Lucy and Robin have a parrot each.Who keeps the least pets?A. Malcolm.B. Sally.C. Lucy.D. Robin.48. If L E A F is written Q I D H, how would you write the code word for T R E E?A. YVHGB. XUGFC. WTFED. VSED49. James and Tom like rugby. Tim and Nicholas prefer football. Only Nicholas does not enjoy roller skating. Which of the following statements must be true?A. James and Tim like roller skating but not rugby.B. Nicholas and Tim prefer football and roller skating.C. Tom does not enjoy football or roller skating.D. James and Tom enjoy rugby and roller skating.50. Each symbol in this table has a value. The total of these values in each row and column is written at the end of the corresponding row or column. Can you find the value of each symbol?A. Triangle = -4.2, Square = 11.5, Diamond = -1.8, Circle = 6.6B. Triangle = -3.2, Square = 10.5, Diamond = -0.8, Circle = 5.6C. Triangle = -5.2, Square = 12.5, Diamond = -2.8, Circle = 7.6D. Triangle = -6.2, Square = 12.5, Diamond = -3.8, Circle = 8.6Part V Reading Comprehension (25 minutes, 40 points)Section A Multiple Choice (5 points)Directions:There is one passage in this section with 5 questions. For each question, there are four choices marked A, B, C and D. You should decide on the best choice. Then mark the corresponding letter on the Answer Sheet with a single line through the centre. Questions 51-55 are based on the following passage.In the lumberyard by the lake, where trees from the woods were turned into boards for construction work, there was an old brick building two floors high, and all around the outside walls were heaped great piles of soft sawdust. There were many of these golden mountains of dust covering that part of the yard right down to the blue lake. That afternoon, bored with having nothing else to do, all the fellows followed Michael up the ladder to the roof of the old building and they sat with their legs hanging over the edge looking out across the lake. Suddenly Michael said, “I dare you to jump down,” and without thinking about it, he pushed himself off the roof and fell on the sawdust where he lay rolling around and laughing. “I dare you all!” he shouted. “Y ou're all cowards,” he said, encouraging them to follow him. Still laughing, he watched them looking down from the roof, white-faced and hesitant, and then one by one they jumped and got up grinning with relief.In the hot afternoon sunlight they all lay on the sawdust pile telling jokes till at last one of the fellows said, “Come on up on the old roof again and jump down.” There wasn't much enthusiasm among them, but they all went up to the roof again and began to jump off in a determined, desperate way till only Michael was left and the others were all down below grinning up at him calling, “Come on, Mike. What's the matter with you?” Michael wanted to jump down there and be with them, but he remained on the edge of the roof, wetting his lips, with a silly grin on his face, wondering why it had not seemed such a long drop the first time. For a while they thought he was only fooling them, but then they saw him clenching his fists tight. He was trying to count to ten and then jump, and when that failed, he tried to take a long breath and close his eyes. In a while the fellows began to laugh at him; they were tired of waiting and it was getting on to dinnertime. “Come on, you're a coward, do you think we're going to sit here all night?” they began to shout, and when he did not move they began to get up and walk away, still shouting. “Who did this in the first place? What's the matter with you all?” he called.But for a long time he remained on the edge of the roof, staring unhappily and steadily at the ground. He remained all alone for nearly an hour while the sun, like a great orange ball getting bigger and bigger, rolled slowly over the grey line beyond the lake. His clothes were wet from nervous sweating. At last he closed his eyes, slipped off the roof, fell heavily on the pile of sawdust and lay there a long time. There were no sounds in the yard, the workmen had gone home. As he lay there he wondered why he had been unable to jump; and then he got up slowly and walked home feeling deeply ashamed and wanting to avoid everybody.Questions:51. Why did the boys first decide to climb onto the roof of the building?A. To test their courage.B. To pass the time.C. To keep out of the way of the workmen.D. To get a better view of the woods.52. When the boys jumped after Michael, they __________.A. were not afraid at allB. wanted to do it again immediatelyC. were glad they had got down safelyD. found the jump easier than expected53. Why didn't Michael make the second jump immediately?A. The ground seemed further away.B. He thought his friends had been foolish.C. He was trying to trick his friends.D. He wanted his friends to go away.54. How did Michael's friends react when he didn't jump?A. They left immediately.B. They were not surprised.C. They remembered how they had felt themselves.D. They thought he was joking.55. When Michael finally jumped the second time, he __________.A. was proud of himselfB. improved on his first jumpC. could not understand what had stopped himD. was not so angry with his friendsSection B Y es / No / Not Given (5 points)Directions:In this part, you will have 5 minutes to go over the passage quickly and answer the questions on the Answer Sheet. For questions 56 - 60, markY (for Y es) if the statement agrees with the information given in the passage;N (for No) if the statement contradicts the information given in the passage;NG (for Not Given) if the information is not given in the passage.Statements:56. Laundry is included in the cost of a room.57. An Express bus will take you from the hotel to the centre of the town.58. The hotel prefers guests who stay for a short time.59. Y ou can have dinner as late as 11:00 pm.60. It is a long way to walk from the hotel to the main railway station.Section C Short Answer Questions (20 points)Directions:In this section, there are 2 passages followed by 10 questions or unfinished statements. Read the passages carefully, then answer the questions in a maximum of 10 words. Remember to write the answers on the Answer Sheet.Passage OneQuestions 61-65 are based on the following passage.There are some very good things about open education. This way of teaching allows the students to grow as people, and to develop their own interests in many subjects. Open education allows students to be responsible for their own education, as they are responsible for what they do in life. Some students do badly in a traditional classroom. The open classroom may allow them to enjoy learning. Some students will be happier in an open education school. They will not have to worry about grades or rules. For students who worry about these things a lot, it is a good idea to be in an open classroom.But many students will not do well in an open classroom. For some students, there are too few rules. These students will do little in school. They will not make good use of this type of education. Because open education is so different from traditional education, these students may have a problem getting used to making so many choices. For many students it is important to have some rules in the classroom. They worry about the rules even when there are none. Even a few rules will help this kind of student. The last point about open education is that some traditional teachers do not like it. Many teachers do not believe in open education. Teachers who want to have an open classroom may have many problems at their schools.Y ou now know what open education is. Some of its good points and bad points have been explained. Y ou may have your own opinion about open education. The writer thinks that open education is a good idea, but only in theory. In actual fact, it may not work very well in a real class or school. The writer believes that most students, but of course not all students, want some structure in their classes. They want and need to have rules. In some cases, they must be made to study some subjects. Many students are pleased to find subjects they have to study interesting. They would not study those subjects if they did not have to.Questions:61. One good thing about open education is that it __________.62. Open education may be a good idea for the students who __________.63. Why will some students do little in an open classroom?64. What do many teachers think of open education?65. Give this passage an appropriate title.Passage TwoQuestions 66-70 are based on the following passage.“My advisor wants me to call him by his first name,” many foreign graduate students in the U.S. have said, “But I just can't do it. It doesn't seem right. I have to show my respect.”On the other hand, professors have said of foreign students, “They keep bowing and saying …Y es, sir, yes, sir.' I can hardly stand it. I wish they'd stop being so polite and just say what they have on their minds.”Differing ideas about formality and respect frequently complicate relationships between American professors and students from abroad, especially Asian students (especially female Asian students). The professors generally prefer informal relationships (sometimes, but not always, including the use of first names rather than titles and family names) and little acknowledgment of status differences. Many foreign students are used to more formal relationships and sometimes have difficulty bringing themselves to speak to their teachers at all, let alone addressing them by their given names.The characteristics of student-teacher relationships on American campuses vary somewhat, depending on whether the students involved are undergraduate or graduate students, and depending on the size and nature of the college. Graduate students typically have more intense relationships with their professors than undergraduates do; at smaller colleges student-teacher relationships are typically even less formal than they are at larger institutions.To say that student-teacher relationships are informal is not to say that there are no recognized status differences between the two groups. There are. But native American students may show their respect mainly in the vocabulary and tone of voice they use when speaking to teachers. Much of their behavior around teachers may seem to foreign students to be disrespectful. For example, American students will eat in class, read newspapers, and assume quite informal postures. Approve of such behavior, but they tolerate it. Students, after all, are individuals who have the right to decide for themselves how they are going to behave.Questions:66. When addressed by their given names, American professors think it __________.67. Many foreign students' politeness makes their American professors __________.68. What are the relationships like between students and professors at big American universities compared with smaller ones?69. What do you understand by the term “status differences” (Para.5)?70. What do American teachers think of their students' behavior in class?Section D Summary (10 points)Directions:In this part, there is one passage followed by a summary. Read the passage carefully and complete the summary with the appropriate words from the passage. Remember to write the answers on the Answer Sheet.Questions 71-75 are based on the following passage.Wine can be made with red grapes or white grapes, and, especially in the case of red wines, a number of medical researchers have reported that a moderate amount of wine has certain health benefits. This may be one of the reasons why the number of people drinking wine has risen over the past years. As new wine shoppers browse the shelves of their local markets, they face a tough decision. Should they buy a wine with a cork or a screw top? And shoppers are not alone in their dilemma. Wineries are also facing tough choices in the best way to seal their products.The root of the problem lies in “cork taint.” Cork taint refers to a problem with wine that has been sealed with a bad cork. Traditionally, all corks are made from a special oak tree that grows around the Mediterranean. In the process of making the corks and sealing wine bottles, a certain type of mold may start to grow on some corks. Over time, this mold can produce a chemical that makes the wine inside the bottle taste musty. In fact, the human tongue is so sensitive to this particular compound that people can taste it even diluted up to six parts per trillion!How big is the problem of cork taint? Some experts from the wine industry claim cork taint affects one out of every ten bottles of wine. And as one spokesperson for an American winery says, “No other packaging industry in the world would put up with that kind of failure rate.”Some wine makers see a possible solution to the problem of cork taint through adopting the tried and true method of sealing bottles with screw tops. However, many wineries are still playing it safe and sticking to corks for two reasons. First, there is the old belief among cork users that small amounts of oxygen are able to penetrate corks. This oxygen, they say, is necessary for the proper aging of fine wines, especially those aged 10 years or more. Screw tops do not allow for any oxygen to get into the bottles after they are sealed.Another problem arises from the image screw tops have with the public. In most people's minds, screw tops are only found on cheap, low-quality wines. It will take a lot of effort from wineries to re-educate the public if they want to change the image of screw tops. In addition, there is the problem of losing the romantic, elegant mood produced by the ceremonial popping of the cork. Consumers don't seem to feel the same thrill when unscrewing a top.Summary:The wine industry is facing a dilemma. What is the best way to (71)__________ wine bottles? Many people think that it is only (72)__________ for wine bottles to be sealed with corks. They think that oxygen needs to be able to penetrate the cork. Other experts, however, suggest that wineries change to (73)__________. Using corks can lead to cork taint, a bad taste in the wine caused by (74)__________. However, it is unlikely that the public will accept this solution. They will most likely (75)__________ buying wine with corks for the romantic image.Part VI Cloze (10 minutes, 15 points)Directions:There are 15 blanks in the passage. For each blank, some letters of the word have been given (not exceeding 3 letters). Read the passage below and think of the word which best fits each blank. Use only one word in each blank. Remember to write the answers on the Answer Sheet.There is no doubt that the environment is in trouble. Factories burn fossil fuels which produce (76)ac rain, and this kills trees. At the same time, green house gases rise into the air and contribute to global (77)w , which threatens to melt the polar ice cap. Meanwhile farmers clear huge areas of rain forest in places such as the Amazon to produce feeding land for cattle or produce (78)w for building. Rivers and oceans are so heavily contaminated by industrial waste that it is no longer safe to go (79) sw . Cars pump out poisonous gases which we all have to breathe in. Poaching and overfishing are killing off millions of animals, including whales, elephants and other(80) end species. In fact, all around us, all living things large and small which comprise our finely balanced ecosystem are being systematically (81) des by human greed and thoughtlessness.There is a lot we can do, however, to help prevent this. The easiest thing, of course, is to (82) rec waste material such as paper and glass so that we can use it again. We should also check that the things we buy from (83) sup are packed in biodegradable packaging which decomposes easily. At the same time, we should make a conscious effort to avoid foods which are (84) gen modified. Of course, if you are truly committed to protecting the environment, you should only buy (85)org fruit and vegetables, safe in the knowledge that they have been naturally cultivated. Finally, we should buy a small car that uses unleaded petrol which is less (86)har to the environment or, even better, make more use of public transport.The serious (87) env , however, do much more. They are aware of the global issues involved and will actively involve themselves in conservation programmes by (88)m sure our forests are kept safe for future generations. They will (89)op activities which are harmful to animals. And they will campaign to keep the Green Belts around our towns and cities free from new building.We cannot all be as committed as them, but we can at least do our own little bit at grass roots level. We, as humans, have inherited the earth, but that doesn't mean we can do (90) wha we like with it.Part VII Translation (15 minutes, 15 points)Section A English-Chinese Translation (10 points)Directions:Translate the underlined sentences of the following passage into Chinese. Remember to write the answers on the Answer Sheet.To walk among the stars has been a dream of mankind since the beginning of time, and wandering among the heavens has inspired legends and fantasies across the ages. Today, that dream has become a reality, when we remember some of the greatest human achievements in history: walking on the moon, sending probes to distant planets and。
eda技术实用教程-veriloghdl答案【篇一:eda技术与vhdl程序开发基础教程课后答案】eda的英文全称是electronic design automation2.eda系统设计自动化eda阶段三个发展阶段3. eda技术的应用可概括为4.目前比较流行的主流厂家的eda软件有、5.常用的设计输入方式有原理图输入、文本输入、状态机输入6.常用的硬件描述语言有7.逻辑综合后生成的网表文件为 edif8.布局布线主要完成9.10.常用的第三方eda工具软件有synplify/synplify pro、leonardo spectrum1.8.2选择1.eda技术发展历程的正确描述为(a)a cad-cae-edab eda-cad-caec eda-cae-cadd cae-cad-eda2.altera的第四代eda集成开发环境为(c)a modelsimb mux+plus iic quartus iid ise3.下列eda工具中,支持状态图输入方式的是(b)a quartus iib isec ispdesignexpertd syplify pro4.下列几种仿真中考虑了物理模型参数的仿真是(a)a 时序仿真b 功能仿真c 行为仿真d 逻辑仿真5.下列描述eda工程设计流程正确的是(c)a输入-综合-布线-下载-仿真b布线-仿真-下载-输入-综合c输入-综合-布线-仿真-下载d输入-仿真-综合-布线-下载6.下列编程语言中不属于硬件描述语言的是(d)a vhdlb verilogc abeld php1.8.3问答1.结合本章学习的知识,简述什么是eda技术?谈谈自己对eda技术的认识?答:eda(electronic design automation)工程是现代电子信息工程领域中一门发展迅速的新技术。
2.简要介绍eda技术的发展历程?答:现代eda技术是20世纪90年代初从计算机辅助设计、辅助制造和辅助测试等工程概念发展而来的。
河北大学课程考核试卷2008 —— 2009学年第一学期2006级电气类专业(类)考核科目EDA技术课程类别必修考核类型考查考核方式闭卷类别A 选择题:1、下列标示符哪些是合法的(B )A、$timeB、_dateC、8sumD、mux#2、如果线网类型变量说明后未赋值,起缺省值是(D )A、xB、1C、0D、z3、现网中的值被解释为无符号数。
在连续赋值语句中,assign addr[3:0]=-3;addr被赋予的值是(A)A、4'1101B、4'0011C、4'bxx11D、4'bzz114、r eg[7:0] mema[255:0]正确的赋值是(A)A、mema[5]=3 'd0,B、8'd0; C 1'b1; D、mema[5][3:0]=4 'd15、在code模块中参数定义如下,请问top模块中d1模块delay1、delay2的值是(D )module code(x,y); module top;paramee delay1=1,delay2=1; ........... ......................................... code #(1,5) d1(x1,y1);en dmodule en dmoduleA、(1,1)B、(5,5) C (5,1)D、(1,5)6、“a=4‘ b11001,b=4 ' bx110”选出正确的运算结果(B )A、a&b=0B、a&&b=1C、b&a=xD、b&&a=x7、时间尺度定义为timescale 10ns/100ps,选择正确答案(C )A、时间精度10nsB、时间单位100psC、时间精度100psD、时间精度不确定8、若a=9,执行$display(“urrent value=%0b,a=%0d ",a,a)正确显示为(B )A、current value=1001,a=09B、current vale=1001,a=9C 1001,9 D、current vale=00 …001001,a=99、a ways begin #5 clk=0; #10 clk=~clk;end 产生的波形(A )A、占空比1/3 B clk=1 C、clk=0 D、周期为1010、在Verilog中定义了宏名'define sum a+b+c下面宏名引用正确的是( C )A、out= 'um+d;B、out=sum+d;C、out='sum+d;D、都正确二、填空题:(共15分,每小题3分)1、某一纯组合电路输入为in1, in2和in3,输入出为out,则该电路描述中always的事件表达式应写为always@(in1,in2,in3 );若某一时序电路由时钟clk信号上升沿触发,同步高电平复位信号rst 清零,该电路描述中always的事件表达是应该写为always@( posedge clk )。
2006年秋1.在下列有关集成电路的叙述中,错误的是。
A.集成电路的规模是根据其所包含的电子元件数目进行划分的B.大规模集成电路一般以功能部件和子系统为集成对象C.现代集成电路使用的半导体材料主要是硅(Si)也可以是化合物导体如砷化镓等D.集成电路技术发展很快,至2005年初已达到线宽0.001um的工艺水平2.在下列有关通信技术的叙述中,错误的是。
A.电视节目的传输目前采用的还都是模拟传输技术B.模拟调制的方法有3种,即调频、调幅和调相C.数字信号不经过调制就在信道上直接进行传输,称为“基带传输”D.用户使用MODEM通过电话线上网时,采用的是数字调制技术3.所谓“变号操作”,是将一个整数变成绝对值相同但符号相反的另一个整数。
假设使用补码表示的8位整数X=10010101,则经过变号操作后,结果为。
A.01101010B.00010101C.11101010D.01101011 变为原码再变号4.若计算机内存中连续2个字节的内容其十六进制形式为34和64,则它们不可能是。
先化为二进制再看A.2个西文字符的ASCII码B.1个汉字的机内码C.1个16位整数D.图像中1个或2个像素的编码5.在下列关于指令和指令系统的叙述中,错误的是。
A.指令是构成程序的基本单元,它用来规定计算机执行什么操作B.指令由操作码和操作数组成,操作数的个数由操作码决定C.Intel公司Pentium系列的各种微处理器,其指令完全不同(太绝对了)D.Pentium处理器的指令系统包含数以百计的不同指令6.在下列关于BIOS及CMOS存储器的叙述中,错误的是。
A.BIOS是PC机软件最基础的部分,包含POST程序、CMOS设置程序、系统自举程序等B.BIOS存放在ROM存储器中,通常称为BIOS芯片,该存储器是非易失性的C.CMOS中存放着基本输入输出设备的驱动程序和一些硬件参数,如硬盘的数目、类型等D.CMOS存储器是易失性的,在关机时由主板上的电池供电7.PC机的机箱外面常有很多接口,用来连接外围设备。
,考试作弊将带来严重后果!华南理工大学期末考试《数字系统设计》A试卷答案1. 考前请将密封线内各项信息填写清楚;所有答案请直接答在答题纸上;.考试形式:闭卷;简答题(共25分,每题5分)、简述产生固有延时和传输延时的原因。
(2.5)传输延时是由于器件间的连线产生的输出与输入的延时,表达的是输入与输出之间的(2.5)、在VHDL中,可以使用并行和顺序语句编写VHDL模型,解释“并行”和“顺序”在这里的含义,并分别举例加以说明。
“并行”指的是语句在结构体中的执行是同步进行的,其执行方式与书写顺序无关。
(1.5)“顺序”指的是语句在结构体中的执行顺序与书写顺序一致。
(1.5)以下面程序为例,这个结构体中共有三条并行语句组成,分别是进程语句和Q、QbarQ和Qbar的赋值语if语句却是顺序执行的。
(2)Architecture sig of DFF isBeginif (reset=‘0’) then state<=‘0’;elsif rising_edge(clock) then state<=D;end if;end process;Q<=state;Qbar<=not state ;End sig;3、简述功能仿真和时序仿真的区别。
答:功能仿真:在未经布线和适配之前,使用VHDL源程序综合后的文件进行仿真。
(2.5)时序仿真:将VHDL设计综合后,再由FPGA/CPLD适配器映射于具体芯片后得到的文件进行仿真。
(2.5)4、从逻辑设计转换成电路实现的物理设计过程中,迭代是一类很有用的技术。
其具体含义是什么?常用的迭代技术有哪几种?它们各自的优缺点是什么?答:迭代的思想是利用问题本身包含的结构特性,用简单的逻辑子网络代替复杂的组合逻辑网络,实现要求的处理功能。
从而最大限度降低了逻辑网络的设计难度,简化了设计过程,提高系统的性能/价格比。
(2)常用的迭代技术有时间迭代、空间迭代,也可以是两者的组合。
(1)时间迭代速度慢,硬件简单。
(1)空间迭代速度快,硬件复杂。
(1)5、用图示法描述一般时序系统的模型,并作简要说明。
答:一般的时序系统可以划分为如上图所示的控制器/数据处理器模型。
控制器输出控制信号给数据处理器,同时数据处理器反馈状态信号给控制器。
(图4分,说明1分)二、根据下述VHDL程序段,画出相应的逻辑示意图(共20分,每题5分)。
1、Process( gate, a, b)Beginif (gate=…1‟) thenq<=a and b;end if;End process ;2、Process(clk)BeginIf (clk =…1‟) thenQ<=data;End if;End process;3、假设法fadd4是已经描述好的元件Entity fadd8 isport ( a , b : in std_logic_vector(7 downto 0) ;ci: in std_logic;co: out std_logic;sum: out std_logic_vector (7downto 0));End fadd8 ;Architecture stru of fadd8 isComponent fadd4port ( a , b: in std_logic_vector(3 downto 0) ;ci: in std_logic;co: out std_logic;sum: out std_logic_vector (3 downto 0) );End component;Signal carry_out : std_logic ;BeginU1: fadd4 port map( a( 3 downto 0), b(3 downto 0), ci,carry_out, sum(3 downto 0);U2: fadd4 port map( a( 7 downto 4), b(7 downto 4), carry_out,co, sum(7 downto 4);End stru;4、用1个加法器和多个二路选择器综合下面的程序段,画出逻辑示意图Process( select,a,b)beginif select=…1‟then q<=a+b;else q<=c+d;end if ;end process;三、 简述ASM 图与普通程序流程图之间的区别,并根据ASM 图画出时序图。
(10分)(a )(b )答:ASM 图相比一般程序流程图,隐含了时序关系,与硬件有很好的对应关系。
(两个要点每个2分,下面的图,每个1分)四、下图是交通灯电路的ASM图,并用双进程状态机描述,请在空白处填入合适语句, 使程序完整正确。
(10分)Entity traffic isPort ( reset, clk: in std_logic;car,timed: in std_logic;major_green, minor_green:out std_logic);end entity;Architecture asm of traffic istype state_type is ( G , R );signal present_state, next_state : state_type;Begin(5分)seq: process(reset,clk)beginif reset=‟1‟ then present_state<=G;elsif clk‟event and clk=‟1‟ thenpresent_state <=next_state;end ifend process;com: process (present_state, car, timed)beginstart_timer<=…0‟;case pressent_state iswhen G =>major_green<=…1‟;minor_green<=…0‟;if (car=…1‟) thenstart_timer<=…1‟;next_state<=R;elsenext_state<=G;end if ;when R=> (5分)major_green<=‟0‟;minor_green<=‟1‟;if timed=‟1‟ thennext_state<=G;else next_state<=R;end if;end case;end process ;End asm;五、描述一个如下图所示的带异步复位、置位功能的正边沿触发的D触发器的VHDL行为模型。
(10分)Entity DFF isport ( D, clock, reset, set : in std_logic;Q, Qbar: out std_logic );End DFF;(实体2分,结构体8分)Architecture sig of DFF issignal state: std_logic ;Beginprocess( clock, reset, set)beginif (reset=‘0’) then state<=‘0’;elsif (set=‟0‟) then sate<=‟1‟;elsif rising_edge(clock) then state<=D;end if;end process;Q<=state;Qbar<=not state ;End sig;六、从下面二题中选一做答,并在相应的题前打上“√”(10分)(1)设计5位可变模数计数器。
设计要求:令输入信号M1和M0控制计数模,令M1M0=”00”时为模19加法计数器,M1M0=”01”时为模4计数器,M1M0=”10”时为模10计数器,M1M0=”11”时为模6计数器。
(题目中有错,需改正)Entity mod_cal isPort ( M1, M0: in bit;Count: out std_logic_vector(4 downto 0);Clk: in std_logic);End entity;(实体2分;结构体8分,其中写出计数功能3分)Architecture behav of mod_cal isSignal count1:std_logic_vector(4 downto 0);BeginProcess(clk, M1,M0)Variable sel is bit_vector(1 downto 0);BeginSel:=M1&M0;If clk‟event and clk=‟1‟ thenCount1<=Count1+1;Case sel isWhen “00”=> if Count1=”10011” then Count1<=”00000”;End if;When “01”=> if Count1=”00100” then Count1<=”00000”;End if;When “10”=> if Count1=”01010” then Count1<=”00000”;End if;When “11”=> if Count1=”00110” then Count1<=”00000”;End if;End case;End if;End process;Count<=count1;End behav;(2)下图所示电路是某数字系统的控制器。
其中Z是系统数据处理器的状态信号;C1和C2是控制器输出的控作信号。
试画出该控制器的ASM图。
答:第一步:写出次态方程和输出方程(3分)ZQ Q C Q Q C C Q ZC Q n n nnn n 212211121111====++第二步:根据次态方程和输出方程,写出状态转移表(3分)第三步:根据状态转移表,画出ASM 图(4分)在无步骤情况下,若ASM 画对,则给全对,若ASM 画错,则酌情给分。
七、设计题(15分)如下图所示,某数字系统有两条输入线分别为CONTROL和DATA。
有一条输出应答线READY和8位输出总线Z。
从DATA数据线上输入的是8为串行数据。
串行输入数据可以从低位到高位依次输入,也可以从高位到低位依次输入。
输出数据最高位到最低位必须从Z7,Z6,…Z0依次并行输出。
当系统准备接受新的数据时READY信号置1,并监视输入信号CONTROL。
当CONTROL线在连续二个时钟周期为1时,系统将READY信号恢复为0。
在下一个时钟若CONTROL仍为1,表示DATA低位在前、高位在后输入;反之,高位在前、低位在后输入。