智力抢答器(数字电子技术)
- 格式:doc
- 大小:36.42 KB
- 文档页数:4
- - - 《数字电子技术》课程设计报告8路智力抢答器设计与制作设计要求:1、可同时供8名选手或8个代表队参加比赛;2、主持人控制系统的清零(编号显示数码管灭灯)和抢答的开始;3、抢答器具有数据锁存和显示的功能;4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定;5、具有报警功能。
设计人:罗帅学号:专业:08电气班级:1成绩:评阅人:哈尔滨应用技术职业技术学院8路智力抢答器设计与制作8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。
他是在规定的时间内进行抢答。
一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。
当超出规定时间时,即使抢答,不会显示选手号码。
8路智力抢答器包括组合逻辑电路和时序电路。
通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
一、设计要求(一)设计指标1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。
2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。
3、抢答器具有数据锁存和显示的功能。
抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,同时扬声器给出音响提示。
此外,要封锁输入电路,禁止其他选手抢答。
优先抢答选手的编号一直保持到主持人将系统清零为止。
4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。
当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。
5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。
课程设计《数字式智力抢答器》系统设计要求:设计一个智力抢答器,可以判断第一抢答者,并具备计分功能。
抢答器有4路抢答按钮。
设置抢答器使能信号,当此信号有效时,若参赛者按下抢答开关,则抢答器能判断出第一抢答者并指示该组抢答成功,其他参赛者的抢答开关不起作用。
若提前抢答,则对相应的参赛者发出警报。
系统具有清零功能。
当清零信号有效时,抢答器对前一轮抢答的第一抢答者判断结果进行清零,恢复为初始状态。
数字式智力抢答器还具有计分功能。
如果抢答成功的参赛者满足得分条件,则增加相应分数,答错不扣分。
一、系统方案设计根据系统设计要求,系统主要由三个主要的电路模块组成,分别为第一抢答判断电路、计分电路、显示电路。
其中第一抢答判断电路主要完成最快抢答者的判断功能;计分电路存储每组竞赛者的分数;显示电路显示抢答器的状态和各组的分数。
因此,数字竞赛抢答器的输入信号包括:复位信号CLR、抢答器使能信号EN、四组抢答按钮A/B/C/D、加分信号ADD;输出信号:四组抢答状态的显示LEDx;对应的得分SCOREx;抢答器抢答成功的组别显示、报警信号FALSE。
二、单元电路设计1、第一抢答判断电路第一抢答判断电路模块具有第一抢答信号的鉴别和锁存功能。
(1)当复位CLR信号有效(高电平)时,电路清零。
(2)当使能信号EN为低电平时,如果有人抢答,则提前抢答报警信号FALSE[3..0]的对应位输出高电平,以示警告。
(3)当EN为高电平时,抢答开始。
首先将报警信号FALSE[3..0]清零,然后根据四组竞赛者的抢答情况选择最先抢答的信号,显示抢答状态显示信号LEDA—LEDD。
(即LEDA—LEDD对应输出高电平)。
抢答成功者的组别编号由信号Q[3..0]输出,并锁存抢答器此时的状态,直到CLR信号有效为止。
(4)在每一轮新的抢答之前,都要使用复位信号CLR,清除上一轮抢答留下的痕迹,使电路恢复初始状态。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qd isport(clr,en:in std_logic;A,B,C,D:in std_logic;LEDA,LEDB,LEDC,LEDD:out std_logic;--抢答成功指示灯FALSE:out std_logic_vector(3 downto 0);--提前抢答报警Q: out std_logic_vector(3 downto 0)); --抢答成功组显示End qd;Architecture behave of qd isSignal temp: std_logic_vector(3 downto 0);Signal TAG : std_logic; --设置锁存标志位BeginTemp<=A&B&C&D;PROCESS(clr,EN,A,B,C,D)BEGINIF clr=’1’ then -- 电路清零Q<=”0000”;LEDA<=’0’;LEDB<=’0’;LEDC<=’0’;LEDD<=’0’;FALSE<=”0000”;TMG<=’0’;ELSIF (EN=’0’) THEN -- 提前抢答报警IF A=’1’ THEN --A提前抢答报警FALSE(3)<=’1’;END IF;IF B=’1’ THENFALSE(2)<=’1’;END IF;IF C=’1’ THENFALSE(1)<=’1’;END IF;IF D=’1’ THENFALSE(0)<=’0’;END IF;ELSE –抢答开始FALSE<=”0000”;IF TAG=’0’ THEN -- 尚未有抢答成功者IF TEMP=”1000” THENLEDA<=’1’;LEDB<=”0”;LEDC<=”0”;LEDD<=’0’;Q<=”1000”; --显示A抢答成功TAG<=’1’; --锁存此状态ELSIF TEMP=”0100” THENLEDA<=’0’;LEDB<=”1”;LEDC<=”0”;LEDD<=’0’;Q<=”0100”; --显示B抢答成功TAG<=’1’; --锁存此状态ELSIF TEMP=”0010” THENLEDA<=’0’;LEDB<=”0”;LEDC<=”1”;LEDD<=’0’;Q<=”0010”; --显示A抢答成功TAG<=’1’; --锁存此状态ELSIF TEMP=”0001” THENLEDA<=’0’;LEDB<=”0”;LEDC<=”0”;LEDD<=’1’;Q<=”0001”; --显示A抢答成功TAG<=’1’; --锁存此状态END IF;END IF;END IF;END PROCESS;END behave;2、计分电路计分电路在参赛者抢答成功后,根据比赛情况进行比较分数的调整。
4人智力竞赛抢答器内容摘要:该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。
选手抢答时,显示选手号,同时蜂鸣器响0.5秒,倒计时停止。
该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。
一、设计内容及要求:1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。
2. 设计要求:14名选手编号为;1,2,3,4。
各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。
2给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的开始。
3抢答器具有数据锁存和显示的功能。
抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。
优先抢答选手的编号一直保持到主持人将系统清零为止。
4抢答器具有定时(9秒)抢答的功能。
当主持人按下开始按钮后,要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。
参赛选手在设定时间(9秒)内抢答,抢答有效,扬声器发出音响,音响持续0.5秒,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。
5如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。
系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。
6石英晶体振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。
7二、电路工作原理:电路由脉冲产生电路,锁存电路,编码及译码显示电路,倒计时电路和音响产生电路组成。
当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上同时音响产生。
主持人开始时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。
三、选定系统设计方案,画出系统框图4人智力竞赛抢答器系统框图如下所示四、单元电路设计参数计算及元器件选择1. 以锁存器为中心的编码显示电路。
一.设计题目:四人智力竞赛抢答器二.主要内容:设计一个具有抢答,定时,显示功能的四人抢答电路三.具体要求:(1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,每组设定一个抢答按钮供参赛者使用。
(2)设置一个系统清零和抢答控制开关K(该开关由主持人控制),当开关K被按下时,抢答开始,打开后抢答电路清零。
(3)抢答器具有一个抢答信号的鉴别、锁存及显示功能。
即有抢答信号输入时,锁存相应的编号,并在LED数码管上显示出来。
此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。
四.进度安排:第一天上午:介绍设计所用仿真软件;布置任务。
下午:查阅资料。
第二天全天:消化课题,了解设计要求,明确被设计系统的全部功能。
第三天全天:确定总体设计方案,画出系统的原理框图。
第四,五天全天:绘制单元电路并对单元电路进行仿真,改进。
第六天上午:完成整体设计并仿真验证。
下午:准备课程设计报告。
第七天上午:对课程设计进行现场运行检查,给出实践操作成绩。
五.成绩评定成绩分为三部分:考勤占30%,实践操作占40%,课程设计报告占30%。
四人智力竞赛抢答器设计内容:设计一个具有抢答,定时,显示功能的四人抢答电路设计目的与要求:(1)掌握抢答器的工作原理及其设计方法。
(2)学会用Multisim10软件操作实验内容。
(3)掌握设计性试验的实验方法基本功能:(1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,每组设定一个抢答按钮供参赛者使用。
(2)设置一个系统清零和抢答控制开关K(该开关由主持人控制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。
(3)抢答器具有一个抢答信号的鉴别、锁存及显示功能。
即有抢答信号输入(参赛者的开关中任意一个开关被按下)时,锁存相应的编号,并在LED数码管上显示出来,同时扬声器发生声响。
此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。
竞赛抢答器要求:设计一个供四人参赛的抢答器,能准确分辨、记录第一个有效按下抢答键者,并用光指示;主持人没有宣布抢答开始时,抢答不起作用。
主持人宣布抢答开始时,按“开始”键,抢答开始,同时启动计时器计时;拓展要求:外加一个计时器,计时器计时采用正计数的方式,以加强现场气氛,增加紧迫感。
若预定时间内无人抢答,自动给出信号停止抢答,以免冷场。
倒计数定时器的时间精确到秒,最多为两分钟,一旦超出限时,则取消抢答权。
设计提示:关键是要存住第一抢答者的信息,并阻断以后抢答者的信号。
可用集成的多组触发器或锁存器辅以逻辑门实现;;计时器可用加法计数器完成;各单元电路分别设计、调试,最后合成。
目录1. 前言 ................................ - 1 -2. 总体方案设计 ............................ - 3 -2.1 方案说明. ................................................ - 3 -2.2 方案优点............................. - 4 -3. 单元模块设计 ............................ - 4 -3.1 抢答器电路........................... - 5 -3.2 计时器,数字显示器电路....................... - 8 -4. ......................................................................... 主要器件介绍- 9 -5. ......................................................................... 系统功能调试- 10 -6. 总结与体会 ............................ - 11 -【参考文献】 (18)附录................................. - 11 -1 前言关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。
电子课程设计报告题目:智力竞赛抢答器学生姓名专业学号指导教师日期一、完成课题的工作基础和实验条件【工作基础】LPS305直流稳压电源提供5V固定电压TFG2006V-6MHz信号发生器提供峰峰值1V、频率1Hz的方波【实验条件】各类CMOS器件器件列表如下:【4518】1个【4013】2个【4072】3片【4069】3片二、设计任务和要求【智力竞赛抢答器】电路简介:智力竞赛抢答器是为智力竞赛参赛选手答题时进行抢答而设计的一种优先判决电路。
参赛选手可以分为若干组,抢答时每组选手对主持人提出的问题要在最短的时间内作出判断,并按下抢答键回答问题。
竞赛规则:主持人宣布答题开始时,选手可以选择抢答或放弃,如果选手提前抢答视为犯规。
【设计要求】1、计时功能:主持按下启动键后,秒时钟计时开始。
2、正常抢答:在规定时间40s之内某选手按下抢答键时,显示席位号,表示正常抢答,秒时钟自动停止;3、放弃抢答:主持按下启动键后,秒时钟计时开始,40s还没有选手按下抢答键,表示放弃抢答,秒时钟自动停止;4、提前抢答:主持人未按下启动键时,某选手就按下抢答键,显示对应席位号,并发出响声,表示抢答犯规;5、封锁功能:当第一个选手按下抢答键后,电路将其他各组按键封锁,使其不起作用;6、复位功能:电路具有复位功能。
【主要技术性能指标】1、选手席位数量:4个主持人:1个2、席位指示灯显示:LED数码管,1个正常抢答时显示席位号(1~4),犯规抢答时显示席位号并发出响声提示。
3、抢答时间范围:0s~40s。
4、时间显示方式:LED数码管,两个。
5、复位方式:手动,按钮复位。
注:按钮可由开关代替。
三、电路基本原理该电路设计中共包括三部门主要电路:1、抢答器电路2、计时电路3、报警电路下面分别加以说明:【抢答器电路】主要功能:在规定时间40s之内某选手按下抢答键时,显示席位号,表示正常抢答【计时电路】主要功能:主持按下启动键后,秒时钟计时开始,40秒后停止【报警电路】主要功能:主持人未按下启动键时,某选手就按下抢答键,显示对应席位号,并发出响声,表示抢答犯规四、实验与调试【仿真完成后根据具体实验过程对电路图所作修改】1、连接显示选手席位号的译码器的四输入或门由于所发4072只有三片,器件不够,因而改成非门加与非门2、测试计时器功能时可适当加快信号发生器所提供的信号频率,以加快计时所用时间,方便观测【实验过程中注意事项】1、各部门主要电路分别测试,例如首先连接好计时电路并检测4518性能2、电路连接过程中注意不要带电改电路,切断电源后再插拔线路3、插拔芯片时须小心管脚,勿折断4、注意芯片接地与接电源端,切勿接反五、设计体会通过本次四路抢答器的电路设计,我对电子电路的设计过程有了更清楚的认识。
智力竞赛抢答器实验报告智力竞赛抢答器实验报告智力竞赛抢答器一、实验目的1、学习数字电路中D触发器、分频电路、多谐振荡器、CP时钟脉冲源等单元电路的综合运用;2、熟悉智力竞赛抢答器的工作原理;3、了解简答数字系统设计、调试及故障排除方法。
二、实验原理下图为四人用的智力竞赛抢答装置线路,用以判断抢答优先权。
智力竞赛抢答器装置原理图图中F1为四D触发器74LS175,它具有公共置0端和公共CP 端,引脚排列间附录;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的四分频电路;F3、F4组成抢答器中的CP时钟脉冲源。
抢答开始时,由主持人清除信号,按下复位开关S,74LS175的输出Q1~Q4全为0,所有发光二极管LED灯均熄灭,当主持人宣布“抢答开始”后,首先做出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F2送出信号锁住其余3个抢答者的电路,不再收受其他信号,直到主持人再次清除信号为止。
三、实验设备与器件(1)+5V直流电源(2)逻辑电平开关(3)逻辑电平显示器(4)双踪示波器(5)数字频率计(6)直流数字电压表(7)74LS175,74LS20,74LS74,74LS00四、实验内容(1)测试各触发器及各逻辑门的逻辑功能。
测试方法参照数字电子技术基础实验的有关内容,判断器件的好坏。
(2)按图10-1接线,抢答器五个开关接实验装置上的逻辑开关,发光二极管接电平显示器。
(3)断开抢答器电路中CP脉冲源电路,单独对多谐振荡器F3及分频器F4进行调试,调整多谐振荡器10kΩ电位器,使其输出脉冲频率约4kHz,观察F3和F4输出波形及测试其频率。
(4)测试抢答器电路功能。
接通+5V电源,CP端接实验装置上连续脉冲源,取重复频率约1kHz。
1)抢答开始前,开关K1,K2,K3,K4均置“0”,准备抢答,将开关S置“0”,发光二极管全熄灭,再将S置“1”。
......电子技术课程设计成绩评定表设计课题:智力比赛抢答器学院名称:电气工程学院专业班级:电气 1503学生:段帅朋学号:201523010310指导教师:设计地址:31-220设计时间:指导教师建议:成绩 :署名:年月日学生题目课题性质指导教师主要容(参数)任务要求(进度)主要参照资料审察建议......电子技术课程设计任务书段帅朋专业班级电气1503学号201523010310智力比赛抢答器工程设计课题根源自拟用 TTL 或 CMOS 集成电路设计智力比赛抢答器逻辑控制电路,详细要求以下:1.抢答组数为 4 组,输入抢答信号的控制电路应由无颤动开关来实现。
2.鉴别选组电路。
能快速、正确地判处抢答者,同时能清除其余组的扰乱信号,闭锁其余各路输入使其余组再按开关时失掉作用,并能对抢中者有光、声显示和呜叫指示。
3. 计数、显示电路。
每组有三位十进制计分显示电路,能进行加/减计分。
4.准时及音响。
必答时,启动准时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。
抢答时,当抢答开始后,指示灯应闪亮。
当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。
也能够驱动组别数字显示(用数码管显示)。
第 1-2 天:熟习课程设计任务及要求,查阅技术资料,确立设计方案。
第3-4 天:依照确立的方案设计单元电路。
要求画出单元电路图,元件及元件参数选摘要有依照,各单元电路的设计要有详尽阐述。
第 5-6 天:撰写课程设计报告。
要求容完好、图表清楚、文理流利、格式规、方案合理、设计正确,篇幅合理。
[1]康华光.电子技术基础(模拟部分)(第 5 版) [M] .:高等教育, 2004[2]阎石.数字电子技术基础(第 5 版) [M] .:高等教育, 2006[3]光明 .电子技术书课程设计与综合实训 [M] .航空航天 . 2007系(教研室)主任署名:年月日......目录1.绪论 (3)设计目的 (3)设计要求 (3)2.方案设计 (3)系统工作流程图 (3)元器件清单 (4)主要元器件选择与剖析 (5)轻触开关 (5)74LS192计数芯片 (5)2.3.3 共阴极数码管以及其驱动芯片 74LS48 (6)74LS175四路 D 触发器 (7)555 准时器 (8)集成门电路 (8)无源蜂鸣器 (8)3.原理剖析 (9)抢答必答模式选择及其指示电路 (9)抢答电路 (9)脉冲产生电路 (10)单稳态准时电路 (11)准时电路 (12)音响电路 (13)整机电路剖析 (13)加减分数电路 (14)4.设计总结 (15)....c......1.绪论1.1 设计目的1、着重培育学生正确的设计思想,掌握课程设计的主要容、步骤和方法。
实验十二智力竞赛抢答电路一、实验目的1. 学习数字电路中门电路、触发器、中规模集成计数器、多谐振荡器及译码显示等单元电路的综合运用。
2. 熟悉智力竞赛抢答电路的工作原理。
3. 了解简单数字系统的设计、调试及故障排除方法。
二、设计要求设计要求:1. 智力竞赛抢答装置可同时供三名选手或三个代表队参赛,他们的编号分别为1、2、3;每个选手或代表队控制一个抢答按钮,按钮的编号与选手的编号相对应,为K1、K2、K3。
2. 节目主持人控制一个按钮,用来控制系统的清零和抢答开始与否,清零按钮的编号为S。
3. 抢答装置应具有显示和数据锁存功能,每个选手的编号可用一个七段显示器显示,1号选手抢答后相应的显示器显示“1”,2号选手抢答后其显示器显示“2”,3号选手抢答后其显示器显示“3”。
4. 电路应具有抢答键控制功能,在其中的一个选手抢答有效后,显示器显示相应的选手编号,蜂鸣器发出音响提示;同时电路应不再接收其余二个抢答者的信号,已获得抢答资格选手的编号,一直保持到主持人将系统清零为止。
功能扩展:5. 具有定时抢答功能,时间可由主持人设定;当主持人启动“抢答开始”按钮S后,定时器开始加计时,并用显示器显示时间。
6. 选手在设定的时间内抢答有效,定时器停止工作,显示器显示抢答时刻的时间,并保持到主持人将系统清零。
若定时抢答时间到,没有选手抢答,则本次抢答无效,系统报警并不再接收选手的抢答信号(禁止超时抢答),时间显示器显示00。
三、设计方案三个开关分别是1、2、3为选手开关,主持人的开关为空格,倒计时为30秒,显示为00后会报警,主持人开关再抢答后可复位。
1.抢答电路设计:主持人的空格开关打开后才开始抢答(时限为30 s)。
1).当有选手按下所在开关,抢答电路显示选手所在编码(1、2、3),倒计时电路停止计时,以此同时其他选手所在的开关被锁定,抢答无效。
2).如若抢答时间到,无人抢答时,则锁定电路,定时和定时电路停止工作强大无效。
篇一:数电实习报告抢答器数字电子技术课程实习课题:四人智力竞赛抢答器电路设计与制作内容摘要在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。
通过抢答器的数显,灯光和音响等手段指示出第一抢答者。
同时还可以设置定时、记分犯规及奖惩等多种功能。
本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。
数字抢答器由主体电路与扩展电路组成。
优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出,组成主体电路。
通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。
经过模拟仿真,下载到开发板等工作后数字抢答器成型。
关键字 :编码电路;锁存器;译码电路。
第1章 概 述随着社会的不断发展,人们的生活水平也在不断的提高人们不断要满足物质上的要求,同时对精神上的追求也在不断的提高,现在的社会是一个娱乐的社会。
现在各电视台的活动和课外活动都很多。
人们在参加活动的时候都对审判有很多的意见,所以为了比赛的准确和公正,就需要有仪器的辅佐。
智力竞赛抢答器就是一种活泼的有趣的供人们娱乐的游戏装置,通过抢答方式不仅能引起参赛者和观众的兴趣,而且能够提高参赛者的敏捷性,同时我们在参与中能够增加一些生活常识和科学知识,因此,在许多比赛活动中为了准确,公正的进行每一场比赛,特别设置了一台具有显示第一抢答者并锁定、犯规警告等多种功能的抢答器,该设计针对各种要求设计出可供八名选手参赛使用的数字式竞赛抢答器,适用于各大中小电视台,学校等单位举行的智力竞赛。
数字电路组成的数字系统工作可靠,精度较高,抗干扰能力很强,所以智力竞赛抢答器的设计就有数字电路来控制。
第2章 四人抢答器电路原理及设计2.1设计任务和要求: 智力竞赛抢答器实习报告资料 【最新资料,WORD 文档,可编辑修改】一.设计目的1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。
智力竞赛抢答器逻辑电路设计(1)智力竞赛抢答器是一种智能化的电子竞赛设备,可用于各种竞赛场合,比如学校的智力竞赛、电视节目的抢答环节等。
本文将介绍智力竞赛抢答器的逻辑电路设计。
一、总体设计思路智力竞赛抢答器采用数字电路设计,由主控芯片、按键模块、显示模块和声音提示模块等组成。
其总体设计思路如下:1. 按下按钮后,主控芯片接收到按键信号,停止计时,在显示屏上显示该答题者的编号,并发出声音提示。
2. 当有多个人同时按下按钮时,主控芯片将优先响应第一个按下按钮的人,忽略后续按下的人。
3. 主控芯片能够根据比赛规则,提前设置赛制、抢答时间等参数。
4. 显示屏能够显示当前有没有人抢答成功、哪位选手抢答成功、以及还有多长时间可以抢答等信息。
5. 抢答结束后,主控芯片将输出该选手的编号和得分,作为最终比赛成绩的一部分。
二、电路设计详解1. 主控芯片本抢答器采用AVR单片机ATmega16作为主控芯片。
优点是具有较强的计算能力、内置多个计数器和定时器,并且非常稳定可靠。
2. 按键模块按键模块由多个按钮和一个脉冲滤波电路组成。
脉冲滤波电路主要是为了防止按钮松动或者多次按下导致重复触发信号。
当有人按下按钮时,脉冲滤波电路会产生一个稳定的脉冲信号,经过扫描程序把当前按下的按钮编号记录到单片机中。
3. 显示模块本抢答器采用4位8段共阳数码管显示屏,它可以显示十进制数码、英文字母和符号。
显示模块需要与单片机进行通讯,通过数码管上的控制引脚来控制显示内容。
单片机通常采用借助集成芯片74HC595实现数码管的位选和段选。
声音提示模块是指按下按钮后,发出的“嘀嘀”声。
本抢答器采用5V蜂鸣器来实现,当单片机检测到有人按下按钮时,就会输出一个脉冲信号,让蜂鸣器发出声音。
5. 电源模块电源模块是整个抢答器电路的基础,它需要为单片机、显示器和按钮提供稳定的电源。
本抢答器采用直流5V电源输入,可以通过电池、USB接口、电源适配器等供电方式。
一、实验背景随着各类竞赛活动的普及,如何公正、高效地判定抢答者的优先级成为一个重要问题。
为此,我们设计并制作了一台适用于四人智力竞赛的抢答器。
该抢答器通过数字电路实现,能够实时显示倒计时时间,并在选手抢答成功时发出蜂鸣声,指示抢答者的优先级。
二、实验目的1. 熟悉数字电路中D触发器、分频电路、多谐振荡器、CP时钟脉冲源等单元电路的综合运用。
2. 掌握智力竞赛抢答器的工作原理。
3. 了解简答数字系统设计、调试及故障排除方法。
三、实验原理1. D触发器:D触发器是一种基本的数字电路单元,具有存储一位二进制信息的功能。
在本实验中,我们使用D触发器74LS175作为抢答器的核心元件,实现选手抢答信号的锁存和优先级判断。
2. 分频电路:分频电路用于将输入信号的频率降低,以满足抢答器对倒计时时间的需求。
在本实验中,我们使用74LS74组成的四分频电路,将输入时钟信号分频,产生倒计时所需的时钟脉冲。
3. 多谐振荡器:多谐振荡器是一种能够产生周期性方波信号的电路。
在本实验中,我们使用74LS00组成的多谐振荡器产生抢答成功时的蜂鸣声。
4. CP时钟脉冲源:CP时钟脉冲源为抢答器提供统一的时钟信号,保证各个单元电路同步工作。
四、实验步骤1. 电路设计:根据实验原理,设计抢答器的电路图,包括D触发器、分频电路、多谐振荡器、CP时钟脉冲源等单元电路。
2. 元器件选型:根据电路图,选择合适的元器件,包括D触发器、分频电路、多谐振荡器、CP时钟脉冲源、数码管、按键、蜂鸣器等。
3. 电路搭建:按照电路图,将选好的元器件焊接在电路板上,连接好各个引脚。
4. 电路调试:检查电路连接是否正确,使用示波器等工具检测各个单元电路的波形,确保电路正常工作。
5. 功能测试:进行抢答器功能测试,包括倒计时显示、抢答成功蜂鸣声、优先级判断等。
五、实验结果与分析1. 倒计时显示:抢答器能够正常显示倒计时时间,从9秒开始倒计时,直到0秒。
2. 抢答成功蜂鸣声:当选手抢答成功时,抢答器能够发出蜂鸣声,提示选手抢答成功。
智力智能抢答器课程设计一、课程目标知识目标:1. 学生能理解抢答器的基本工作原理,掌握相关电子元件的功能和使用方法。
2. 学生能运用所学的编程知识,设计并实现一个简单的智力智能抢答器程序。
3. 学生了解并掌握抢答器在现实生活中的应用,提高对电子技术在日常生活中的认识。
技能目标:1. 学生能运用所学的电子知识和编程技能,独立完成抢答器的设计与制作。
2. 学生通过动手实践,培养解决实际问题的能力和团队协作能力。
3. 学生能够运用信息技术手段,对抢答器进行调试和优化,提高程序运行效率。
情感态度价值观目标:1. 学生通过课程学习,培养对电子科技的兴趣和热爱,激发创新意识。
2. 学生在团队合作中,学会尊重他人意见,培养良好的沟通与协作能力。
3. 学生能够认识到科技对生活的改变,提高社会责任感和使命感。
课程性质:本课程为实践性较强的课程,以项目式学习为主,注重学生的动手实践和团队协作能力。
学生特点:六年级学生对电子技术和编程已有一定的基础,具有较强的求知欲和动手能力。
教学要求:教师需引导学生运用所学知识,以实际问题为驱动,培养学生解决实际问题的能力。
同时,关注学生的个体差异,给予每个学生充分的指导和鼓励。
通过课程目标的分解和实施,确保学生能够达到预期的学习成果。
二、教学内容1. 电子元件知识:介绍抢答器所需的电子元件,如按钮、LED灯、蜂鸣器、微控制器等,并讲解各元件的功能和电路连接方式。
相关教材章节:第四章第三节《常见电子元件及其应用》2. 编程知识:运用Arduino编程语言,教授学生如何编写程序来控制抢答器的逻辑功能,包括按钮输入、LED指示、蜂鸣器警报等。
相关教材章节:第五章《Arduino编程基础》3. 抢答器工作原理:讲解抢答器的基本工作原理,包括电路设计、程序流程控制等。
相关教材章节:第六章第二节《简易抢答器的制作》4. 实践操作:指导学生动手搭建抢答器电路,编写程序,进行调试与优化。
相关教材章节:第六章第三节《电子制作实践》5. 项目评估:对完成的抢答器项目进行展示、评估和总结,分析优点和不足,提出改进措施。
智力竞赛抢答器课程设计一、课程目标知识目标:1. 学生能理解并掌握抢答器的基本电路原理,包括按钮控制、指示灯显示等。
2. 学生能了解并描述数字电路基础知识,如逻辑门、触发器等,并明白其在抢答器中的应用。
3. 学生能够解释抢答器中涉及的电子元件的功能和作用,如电阻、电容、二极管、三极管等。
技能目标:1. 学生能够运用所学知识,设计并搭建一个简单的智力竞赛抢答器电路。
2. 学生通过实际操作,掌握基本的电路连接和调试技巧,提高动手能力。
3. 学生能够运用抢答器进行实际竞赛,提升反应速度和团队协作能力。
情感态度价值观目标:1. 学生通过课程学习,培养对电子技术的兴趣,激发创新意识。
2. 学生在团队协作中,学会互相尊重、支持和沟通,培养良好的合作精神。
3. 学生在竞赛过程中,树立公平竞争的意识,培养积极向上的心态。
课程性质:本课程为实践性较强的电子技术课程,结合理论知识与动手实践,培养学生电子技术应用能力。
学生特点:五年级学生对新鲜事物充满好奇,动手能力强,但电子技术知识有限,需要教师引导。
教学要求:注重理论与实践相结合,以学生为主体,教师引导,培养学生自主探究和团队协作能力。
通过课程目标的实现,使学生在知识与技能、情感态度价值观方面得到全面提升。
后续教学设计和评估将围绕这些具体的学习成果展开。
二、教学内容1. 数字电路基础知识:逻辑门原理、触发器功能及其在抢答器中的应用。
教材章节:第五章《数字电路基础》内容列举:逻辑门电路、RS触发器、JK触发器等。
2. 抢答器电路原理:按钮控制、指示灯显示、抢答成功判定等。
教材章节:第六章《电子技术应用》内容列举:按钮开关、指示灯、时钟信号、计数器等。
3. 电子元件功能与作用:电阻、电容、二极管、三极管等。
教材章节:第四章《常用电子元件》内容列举:电阻的阻值、电容的容值、二极管单向导电性、三极管放大作用等。
4. 电路连接与调试技巧:实物电路搭建、调试方法、故障排查等。
摘要:锁存器、优先编码电路、译码电路将参赛队或选手的编号在显示器上输出,以上几个部分组成主体电路。
通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能、用555芯片构成报警电路,以上两部构成扩展电路。
主体电路与扩展电路相互控制。
抢答器通电后,三个数码管都显示0,按下清零键进入正常工作状态。
当选手按下抢答按钮后,倒计时停止,数码管显示选手编号及抢答的时间。
关键词:抢答电路,定时电路,报警电路,时序电路,Proteus 7.4a仿真软件引言抢答器同时供7名选手或7个代表队比赛,设置一个系统清除开关和一个抢答控制开关,由主持人控制。
抢答器具有锁存与显示功能,即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。
选手抢答实行优先锁存,优先抢答选手的编号一直保存到主持人将系统清零为止。
抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。
当主持人启动“开始”键后,定时器开始进行减计时。
参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,七段数码管上显示选手编号和抢答的时间,并保持到主持人将系统清零为止。
如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时器上显示00。
1 设计方案将抢答按钮与锁存器相连,使得输入锁存器(74LS373)的是各位选手的高低电平,而非经过优先编码后的BCD码。
然后经优先编码、译码电路后显示在数码管上;同时,由主持人开关及其他部分的线路通过门电路实现对抢答电路和定时电路的控制。
本设计方案的总体方案框图如图2所示。
1.2 设计原理本电路由抢答电路、定时电路、报警电路、秒脉冲产生电路等几部分组成。
抢答电路完成基本的抢答功能,即抢答开始后,当选手按动抢答键时能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答;定时电路的主要功能是完成定时抢答;报警电路的主要功能是完成对非法抢答的报警、选手抢答后的提示以及倒计时结束的提示。
摘要:简易三人抢答器是一名的裁判员,它的任务是从三名竞赛者中确定出最先的抢答者,并显示出最少反应时间。
1.系统总体方案设计1.1电路组成和工作原理根据上面所说的功能要求,智力竞赛抢答计事系统的组成框图如下图1-1所示。
它主要有五部分组成:图1-11.1.1抢答器智力竞赛抢答器的核心。
当参赛者的任意一位首先按下抢答开关事,抢答器即刻接受该信号,指使相应发光二极管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。
1.1.2抢答控制器由三个开关组成。
三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。
1.1.3清零装置供比赛开始前裁判远使用。
它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。
1.1.4显示、声响电路比赛开始,当某一参赛者按下抢答器开关时,触发器就接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。
1.1.5振荡电路它应该提供给抢答器、计时系统和声响电路工作的控制脉冲。
1.2设计步骤及方法下图1-2为三人简易抢答器系统的原理图。
V DDR R R D AD B D C 5.1KΩJA BCG CC4012CP (500KHz )1Q +2Q V DD2R V SS 2CP 1CP 2D 1D 1R CC40131Q V 1R 1CP 1D V CC4013DD DD 1+CP(1KHz)Ta g a g ......a g D C B D C B A CC4511CC40192CP (1HZ )Q D Q A Q B CP —D C B AD C B —Q D Q A CP a g A A CP —=—PE =1图5图1-2 原理图1.2.1抢答控制电路该系统有开关A,B,C,分别有三名参赛者控制。
常态时开关接地,比赛时,按下开关,使该端为高电平。
为实验方便,抢答开关也可以利用实验箱上电平输出开关。
智力抢答器设计报告
姓名:默晓男
学号:2011264
班级:电1105-1班
智力抢答器
一、设计任务
1.基本功能:4人智力抢答器,4人分别对应4个开关;
设总开关,由主持人控制;具有现实功能;
2.扩展功能:定时抢答;在规定时间内抢答有效。
二、所需芯片
1.74LS74两片(D触发器(锁存器))
2.74LS00三片(四输入端与非门)
三、电路组成部分
电路由选手开关控制电路、主持人开关控制电路、D触发锁存电路、时钟脉冲电路、抢答电路和个人抢答显示电路组成。
四、设计电路图
五、功能讲解
(1)选手开关
此电路4个开关组成,四位抢答者通过开断各自的开关开关实现抢答,给编码器输入高低电平,其中低电平为有效抢答信号。
(2)主持人开关
此电路由1个开关,主持人通过开断开关控制整个电路开合。
(3)触发锁存电路
此电路由2个2D触发器74LS74组成。
它具有以下功能:
1.清零功能(用集成触发器清除端实现,由主持人输入脉冲控制)。
2.四个抢答键控制功能(有按键实现)
3显示功能(用数字逻辑实验箱中的发光二极管实现。
4.脉冲信号控制功能(由主持人输入手动正脉冲控制)。
(4)显示电路
此电路由四个发光二极管LED,哪位选手抢答成功哪位选手相应指示灯亮。
六、设计体会
通过此次设计实验,让我对触发器有了深刻的了解,不再仅仅停留在课本上。
并且,体会到了数字电路扩展的思想。
这次实验室我收获颇丰,以后还要从实践中学习和理解知识的真正意义。