半导体dfn封装的中文术语
- 格式:docx
- 大小:3.61 KB
- 文档页数:3
dfn封装工艺流程
dfn封装工艺流程是一种常用的半导体芯片封装方式,本文将介绍其工艺流程。
1. 芯片前处理:将芯片放置在基板上,进行清洗和腐蚀处理,以便封装时能更好地与基板粘合。
2. 内部焊接:在芯片上涂上金属粘接剂,并将其与连接线焊接在一起。
3. 外部焊接:将连接线引出芯片,焊接在封装基座上。
4. 填充树脂:将硅胶或环氧树脂注入封装基座中,使芯片和连接线固定在基座上,并保护芯片免受外部环境的影响。
5. 粗磨和薄磨:对封装好的芯片进行粗磨和薄磨处理,以便获得所需的封装厚度和平整度。
6. 贴片:将标识和封装信息贴到封装芯片上,以便生产和使用过程中进行标识和识别。
7. 终检和包装:对封装好的芯片进行终检和测试,将符合要求的芯片进行包装和封装,以便存储和运输。
以上便是dfn封装工艺流程的主要步骤,其具体实施过程可能因厂家和产品型号而有所不同。
- 1 -。
塑封集成电路封装的有关专用名词中英文对照表半导体词汇BGA Ball Grid Array 焊球阵列BQFP Quad Flat Package With Bumper 带缓冲垫的四边引脚扁平封装C4 Controlled Collapsed Chip Connection 可控塌陷芯片连接CAD Computer Aided Design 计算机辅助设计CBGA Ceramic Ball Grid Array 陶瓷焊球阵列CCGA Ceramic Column Grid Array 陶瓷焊柱阵列CLCC Ceramic Leaded Chip Carrier 带引脚的陶瓷片式载体CMOS Complementary Metal-Oxide-Semiconductor 互补金属氧化物半导体COB Chip on Board 板上芯片COC Chip on Chip 叠层芯片COG Chip on Glass 玻璃板上芯片CSP Chip Size Package 芯片尺寸封装CTE Coefficient of Thermal Expansion 热膨胀系数CVD Chemical Vapor Depositon 化学汽相淀积DCA Direct Chip Attach 芯片直接安装DFP Dual Flat Package 双侧引脚扁平封装DIP Double In-Line Package 双列直插式封装DRAM Dynamic Random Access Memory 动态随机存取存贮器DSO Dual Small Outline 双侧引脚小外形封装DTCP Dual Tape Carrier Package 双载带封装3D Three-Dimensional 三维2D Two-Dimensional 二维FC Flip Chip 倒装片法FCB Flip Chip Bonding 倒装焊FCOB Flip Chip on Board 板上倒装片FP Flat Package 扁平封装FPBGA Fine Pitch Ball Grid Array 窄节距BGAFPD Fine Pitch Device 窄节距器件FPPQFP Fine Pitch Plastic QFP 窄节距塑料QFPGQFP Guard-Ring Quad Flat Package 带保护环的QFPHDMI High Density Multilayer Interconnect 高密度多层互连HIC Hybird Integrated Circuit 混合集成电路HTCC High Temperature Co-Fired Ceramic 高温共烧陶瓷HTS High Temperature Storage 高温贮存IC Integrated Circuit 集成电路IGBT Insulated Gate Bipolar Transistor 绝缘栅双极晶体管ILB Inner-Lead Bond 内引脚焊接I/O Input/Output 输入/输出IVH Inner Via Hole 内部通孔JLCC J-Leaded Chip Carrier J形引脚片式载体KGD Known Good Die 优质芯片LCC Leadless Chip Carrier 无引脚片式载体LCCC Leadless Ceramic Chip Carrier 无引脚陶瓷片式载体LCCP Lead Chip Carrier Package 有引脚片式载体封装LCD Liquid Crystal Display 液晶显示器LCVD Laser Chemical Vapor Deposition 激光化学汽相淀积LDI Laser Direct Imaging 激光直接成像LGA Land Grid Array 焊区阵列LSI Large Scale Integrated Circuit 大规模集成电路LOC Lead Over Chip 芯片上引线健合LQFP Low Profile QFP 薄形QFPLTCC Low Temperature Co-Fired Ceramic 低温共烧陶瓷MBGA Metal BGA 金属基板BGAMCA Multiple Channel Access 多通道存取MCM Multichip Module 多芯片组件MCM-C MCM with Ceramic Substrate 陶瓷基板多芯片组件MCM-D MCM with Deposited Thin Film Inteconnect Substrate 淀积薄膜互连基板多芯片组件MCM-L MCM with Laminated Substrate 叠层基板多芯片组件MCP Multichip Package 多芯片封装MELF Metal Electrode Face Bonding 金属电极表面健合MEMS Microelectro Mechanical System 微电子机械系统MFP Mini Flat Package 微型扁平封装MLC Multi-Layer Ceramic Package 多层陶瓷封装MMIC Monolithic Microwave Integrated Circuit 微波单片集成电路MOSFET Metal-Oxide-Silicon Field-Effect Transistor 金属氧化物半导体场效应晶体管MPU Microprocessor Unit 微处理器MQUAD Metal Quad 金属四列引脚MSI Medium Scale Integration 中规模集成电路OLB Outer Lead Bonding 外引脚焊接PBGA Plastic BGA 塑封BGAPC Personal Computer 个人计算机PFP Plastic Flat Package 塑料扁平封装PGA Pin Grid Array 针栅阵列PI Polymide 聚酰亚胺PIH Plug-In Hole 通孔插装PTF Plastic Leaded Chip Carrier 塑料有引脚片式载体PTF Polymer Thick Film 聚合物厚膜PWB Printed Wiring Board 印刷电路板PQFP Plastic QFP 塑料QFPQFJ Quad Flat J-leaded Package 四边J形引脚扁平封装QFP Quad Flat Package 四边引脚扁平封装QIP Quad In-Line Package 四列直插式封装RAM Random Access Memory 随机存取存贮器SBB Stud-Bump Bonding 钉头凸点焊接SBC Solder-Ball Connection 焊球连接SCIM Single Chip Integrated Module 单芯片集成模块SCM Single Chip Module 单芯片组件SLIM Single Level Integrated Module 单级集成模块SDIP Shrinkage Dual Inline Package 窄节距双列直插式封装SEM Sweep Electron Microscope 电子扫描显微镜SIP Single In-Line Package 单列直插式封装SIP System In a Package 系统级封装SMC Surface Mount Component 表面安装元件SMD Surface Mount Device 表面安装器件SMP Surface Mount Package 表面安装封装SMT Surface Mount Technology 表面安装技术SOC System On Chip 系统级芯片SOIC Small Outline Integrated Circuit 小外形封装集成电路SOJ Small Outline J-Lead Package 小外形J形引脚封装SOP Small Outline Package 小外形封装SOP System On a Package 系统级封装SOT Small Outline Transistor 小外形晶体管SSI Small Scale Integration 小规模集成电路SSIP Small Outline Single-Line Plug Package 小外形单列直插式封装SSOP Shrink Small Outline Package 窄节距小外形封装SPLCC Shrinkage Plasitc Leadless Chip Carrier 窄节距塑料无引脚片式载体STRAM Selftimed Random Access Memory 自定时随机存取存贮器SVP Surface Vertical Package 立式表面安装型封装TAB Tape Automated Bonding 载带自动焊TBGA Tape BGA 载带BGATCM Thermal Conduction Module 热导组件TCP Tape Carrier Package 带式载体封装THT Through-Hole Technology 通孔安装技术TO Transistor Outline 晶体管外壳TPQFP Thin Plastic QFP 薄形塑料QFPTQFP Tape QFP 载带QFPTSOP Thin SOP 薄形SOPTTL Transistor-Transistor Logic 晶体管-晶体管逻辑UBM Metalization Under Bump 凸点下金属化UFPD Ultra Small Pitch Device 超窄节距器件USOP Ultra SOP 超小SOPUSONF Ultra Small Outline Package Non Fin 无散热片的超小外形封装UV Ultraviolet 紫外光VHSIC Very High Speed Integrated Circuit 超高速集成电路VLSI Very Large Scale Integrated Circuit 超大规模集成电路WB Wire Bonding 引线健合WLP Wafer Level Package 晶圆片级封装WSI Wafer Scale Integration 晶圆片级规模集成IC名词解释1、什么是MRAM?MARM(Magnetic Random Access Memory) 是一种非挥发性的磁性随机存储器。
半导体封装和质量术语封装和质量术语以下是TI 常见封装组、系列和偏好代码的定义,此外还有在评估TI 封装选项时可能十分有用的其他重要术语。
常见封装组定义BGA球栅阵列CFP同时包括定型和不定型 CFP = 陶瓷扁平封装LGA基板栅格阵列PFM塑料法兰安装封装QFP四方扁平封装SIP单列直插式封装OPTO*光传感器封装 = 光学RFID射频识别设备CGA柱栅阵列COF薄膜覆晶COG玻璃覆晶DIP双列直插式封装DSBGA芯片尺寸球栅阵列(WCSP = 晶圆级芯片封装)LCC引线式芯片载体NFMCA-LID带盖的基体金属腔PGA针栅阵列POS基板封装QFN四方扁平封装无引线SO小外形SON小外形无引线TO晶体管外壳ZIP锯齿形直插式uCSP微型芯片级封装DLP数字光处理模块模块TAB载带自动键合封装封装系列定义CBGA陶瓷球栅阵列CDIP玻璃密封陶瓷双列直插式封装CDIP SB侧面钎焊陶瓷双列直插式封装CPGA陶瓷针栅阵列CZIP陶瓷锯齿形封装DFP双侧引脚扁平封装FC/CSP倒装芯片/芯片级封装HLQFP热增强型低厚度 QFPHQFP热增强型四方扁平封装HSOP热增强型小外形封装HTQFP热增强型薄型四方扁平封装HTSSOP热增强型薄型紧缩小外形封装HVQFP热增强型极薄四方扁平封装JLCC J 形引线式陶瓷或金属芯片载体LCCC无引线陶瓷芯片载体LQFP低厚度四方扁平封装PDIP塑料双列直插式封装SOJ J 形引线式小外形封装SOP小外形封装(日本)SSOP紧缩小外形封装TQFP薄型四方扁平封装TSSOP薄型紧缩小外形封装TVFLGA薄型极细基板栅格阵列TVSOP极薄小外形封装VQFP极薄四方扁平封装DIMM*双列直插式内存模块HSSOP*热增强型紧缩小外形封装LPCC*无引线塑料芯片载体MCM*多芯片模块MQFP*金属四方扁平封装PLCC*塑料引线式芯片载体PPGA*塑料针栅阵列SDIP*紧缩双列直插式封装SIMM*单列直插式内存模块SODIMM*小外形双列直插式内存模块TSOP*薄型小外形封装VSOP*极小外形封装XCEPT*例外 - 可能不是实际封装产品偏好代码定义P首选封装。
半导体封装常用词汇表BQFP缓冲四方扁平封装有缓冲器的四方扁平封装CA V . BGA 腔体 球栅矩阵放置芯片的型腔 带焊球格栅矩阵CBGA 陶瓷球栅矩阵陶瓷带焊球格栅矩阵CDIP陶瓷双列直插封装陶瓷双列直插封装CERDIP陶瓷双列直插封装陶瓷双列直插封装. 是一种密封封装,由两片干压陶瓷包围带已加工倾角的引线框组成CERPAK陶瓷组件式封装结构与Cerdip 相似。
但引脚外形是未加工的扁平形。
引脚从两边或是四边引出。
Chip ScalePackaging芯片尺寸级封装是一种高密度封装,封装尺寸近似芯片尺寸,有更高的芯片/封装面积比率(大于50%)COB芯片板上贴装芯片直接贴装在电路板上CPGA 陶瓷针栅阵列陶瓷针栅阵列CQUADJ 形陶瓷四方封装J 形引脚陶瓷四方封装CQFP 陶瓷四方扁平封装陶瓷四方扁平封装DCA芯片直接贴装芯片直接贴装DIP Dia D/A双列封装 直径 芯片贴装腔体双列封装 直径 芯片贴装腔体Epoxy Seal E/O环氧树脂密封 只是末端一种非气密性的密封方法。
将周边用硫化环氧树脂与封装密封。
. 只是末端Flip Chip 倒装芯片 半导体芯片倒置(面朝下)封装连接到基板或是电路板。
通常在芯片外围(在键合盘上)带有焊球或是设计成矩阵形.FritSeal GR/GRDH/S L/F LD熔接密封接地端热沉引线架引线一种气密密封的方法,将陶瓷盖板用重熔的玻璃与陶瓷封装密封连接接地端热沉引线架引线LDCC有引线芯片载体有引线芯片载体LLCC无引线芯片载体无引线芯片载体MBGA金属球栅阵列封装金属球栅阵列封装mBGA微球形格栅阵列微球形格栅阵列MCM-PBGA多芯片模块-塑料球栅阵列多芯片模块-塑料球栅阵列MCP Mfg多芯片封装生产商多芯片封装生产商MQFP公制四方扁平封装公制四方扁平封装MQUAD NC NEO Ni金属四方扁平封装 无注释 仅靠末端 镍金属四方扁平封装 无注释 仅靠末端 镍lPBGA 塑料球栅阵列塑料球栅阵列PMCM 塑料多芯片模块塑料多芯片模块PQFP塑料四方扁平封装塑料四方扁平封装PDIP 塑料双列封装塑料双列封装PGA 针栅阵列针栅阵列PLCC Proj PPGA 塑料有引线芯片载体 凸缘 塑料针栅阵列塑料有引线芯片载体 焊接凸缘 塑料针栅阵列PWB 印刷电路板 印刷电路板QFP S/R四方扁平封装 密封环一种表面安装封装,四边均有引线,封装体可以是陶瓷,金属或是塑料。
半导体制程名词df
半导体制程中的“df”通常指的是“Design for Manufacturing”(制造设计),这是指在半导体芯片设计阶段就考虑到制造过程中的因素,以确保最终产品能够高效、可靠地制造出来。
在半导体制程中,df是一个非常重要的概念,因为它涉及到如何在设计阶段考虑到制造过程中的限制和要求,以便在后续的工艺步骤中能够顺利实施。
df的考虑因素包括但不限于线宽、间距、光刻技术、材料选择、工艺可行性等等。
通过df的实施,可以最大程度地提高芯片的制造良率,降低生产成本,缩短制程周期。
另外,df也可以指“Duty Factor”(占空比),在半导体制程中,特别是在集成电路设计中,占空比是指信号的高电平时间与周期的比值。
在数字电路设计中,占空比是一个重要的参数,它直接影响到数字电路的工作性能和稳定性。
设计工程师需要在设计过程中考虑到占空比的影响,以确保电路能够正常工作并满足性能要求。
综上所述,在半导体制程中,“df”通常指的是“Design for Manufacturing”(制造设计)和“Duty Factor”(占空比)这两个概念。
在半导体制程中,这两个概念都具有重要的意义,对于芯
片的设计和制造都有着深远的影响。
希望这些信息能够帮助你更好地理解“df”在半导体制程中的含义。
常见封装形式简介DIP=DualInlinePackage=双列直插封装HDIP=DualInlinePackagewithHeatSink=带散热片的双列直插封装SDIP=ShrinkDualInlinePackage=紧缩型双列直插封装SIP=SingleInlinePackage=单列直插封装HSIP=SingleInlinePackagewithHeatSink=带散热片的单列直插封装SOP=SmallOutlinePackage=小外形封装HSOP=SmallOutlinePackagewithHeatSink=带散热片的小外形封装eSOP=SmallOutlinePackagewithexposedthermalpad=载体外露于塑封体的小外形封装SSOP=ShrinkSmallOutlinePackage=紧缩型小外形封装TSSOP=ThinShrinkSmallOutlinePackage=薄体紧缩型小外形封装TQPF=ThinProfileQuadFlatPackage=薄型四边引脚扁平封装PQFP=PlasticQuadFlatPackage=方形扁平封装LQPF=LowProfileQuadPackage=薄型方形扁平封装eLQPF=LowProfileQuadFlatPackagewithexposedthermalpad=载体外露于塑封体的薄型方形扁平封装DFN=DualFlatNon-leadedPackage=双面无引脚扁平封装QFN=QuadFlatNon-leadedPackage=双面无引脚扁平封装TO=Transistorpackage=晶体管封装SOT=SmallOutlineofTransistor=小外形晶体管BGA=BallGridArray=球栅阵列封装BQFP=QuadFlatPackageWithBumper=带缓冲垫的四边引脚扁平封装CAD=ComputerAidedDesign=计算机辅助设计CBGA=CeramicBallGridArray=陶瓷焊球阵列CCGA=CeramicColumnGridArray=陶瓷焊柱阵列CSP=ChipSizePackage=芯片尺寸封装DFP=DualFlatPackage=双侧引脚扁平封装DSO=DualSmallOutline=双侧引脚小外形封装3D=Three-Dimensional=三维2D=Two-Dimensional=二维FCB=FlipChipBonding=倒装焊IC=IntegratedCircuit=集成电路I/O=Input/Output=输入/输出LSI=LargeScaleIntegratedCircuit=大规模集成电路MBGA=MetalBGA=金属基板BGAMCM=MultichipModule=多芯片组件MCP=MultichipPackage=多芯片封装MEMS=MicroelectroMechanicalSystem=微电子机械系统MFP=MiniFlatPackage=微型扁平封装MSI=MediumScaleIntegration=中规模集成电路OLB=OuterLeadBonding=外引脚焊接PBGA=PlasticBGA=塑封BGAPC=PersonalComputer=个人计算机PGA=PinGridArray=针栅阵列SIP=SystemInaPackage=系统级封装SOIC=SmallOutlineIntegratedCircuit=小外形封装集成电路SOJ=SmallOutlineJ-LeadPackage=小外形J形引脚封装SOP=SmallOutlinePackage=小外形封装SOP=SystemOnaPackage=系统级封装WB=WireBonding=引线健合WLP=WaferLevelPackage=晶圆片级封装常用文件、表单、报表中英文名称清除通知单Purgenotice工程变更申请ECREngineeringChangeRequest持续改善计划CIPcontinuousimprovementplan戴尔专案DellProjec t收据Receipt数据表Datasheet核对表Checklist文件清单Documentationchecklist设备清单Equipmentchecklist调查表,问卷Questionnaire报名表Entryform追踪记录表Trackinglog日报表Dailyreport周报表Weeklyreport月报表Monthlyreport年报表Yearlyreport年度报表Annualreport财务报表Financialreport品质报表Qualityreport生产报表Productionreport不良分析报表FARFailureanalysisreport首件检查报告Firstarticleinspectionreport初步报告或预备报告Preliminaryreport一份更新报告Anundatedreport一份总结报告Afinalreport纠正与改善措施报告异常报告单CARCorrectiveActionReport 出货检验报告OutgoingInspectionReport符合性报告材质一致性证明COCCertificateofCompliance稽核报告Auditreport品质稽核报告Qualityauditreport制程稽核报告Processauditreport5S稽核报告5Sauditreport客户稽核报告Customerauditreport供应商稽核报告Supplierauditreport年度稽核报告Annualauditreport内部稽核报告Internalauditreport外部稽核报告ExternalauditreportSPC报表统计制程管制Statisticalprocesscontrol工序能力指数Cpk Processcapabilityindex规格上限Upperlimit规格下限Lowerlimit规格上限UpperSpecificationLimitUSL规格下限LowerSpecificationLimitLSL上控制限或管制上限UpperControlLimitUCL下控制限或管制下限LowerControlLimitLCL最大值Maximumvalue平均值Averagevalue最小值Minimumvalue临界值Thresholdvalue/criticalvalueMRB单生产异常通知报告MaterialReviewBoardReport工艺流程图ProcessFlowDiagram物料清单产品结构表/用料结构表BOMBillofMaterials合格供应商名录AVLApprovedVendorList异常报告单CAR工程规范报告通知单工程变更通知ECNTECN自主点检表SelfCheckList随件单流程卡TravelingCardRunCard压焊图Bondingdiagram晶圆管制卡Waferinspectioncard晶圆进料品质异常反馈单FeedbackReportforWaferIncomingQualityProblems 订购单POPurchaseOrder出货通知单AdvancedShipNotice送货单/交货单DODeliveryOrder询价单RFQRequestforquotation可靠性实验报告ReliabilityMonitorReport产品报废单PSB特采控制表CRB返工单PRB异常处理行动措施OCAP减薄:Wafer‘weif n.威化饼干、电子晶片晶圆薄片Grindɡraind vt.&vi.磨碎;嚼碎n.磨,碾Crackkrk vt.&vi.使…开裂,破裂n.裂缝,缝隙Inkik n.墨水,油墨Diedai vt.&vi.死亡芯片Dotdt n.点,小圆点Mounting‘maunti n.装备,衬托纸Tapeteip n.带子;录音磁带;录像带Sizesaiz n.大小,尺寸,尺码Thickθik adj.厚的,厚重的Thickness‘θiknis n.厚度,深度宽度Positionp‘zin n.方位,位置Roughrf adj.粗糙的;不平的Finefain adj.美好的,优秀的,优良的,杰出的Speedspi:d n.速度,速率Sparkspɑ:k n.火花;火星Outaut adv.离开某地,不在里面;火或灯熄灭Grindstone‘ɡraindstun n.磨石、砂轮Mountmaunt vt.&vi.装上、配有Mounter装配工;安装工;镶嵌工Mounting‘maunti n.装备,衬托纸Magazine,mɡ‘zi:n n.杂志,期刊,弹药库传递料盒Cassettek‘set n.盒式录音带;盒式录像带Inspectin‘spekt vt.检查,检验,视察Inspectionin‘spekn n.检查,视察Cardkɑ:d n.卡,卡片,名片划片:Saws:n.锯vt.&vi.锯,往复运动Sawing's:i n.锯,锯切,锯开Filmfilm n.影片,电影薄膜,蓝膜Framefreim n.框架,骨架,构架Cleankli:n adj.清洁的,干净的;纯净的Cleaner‘kli:n n.作清洁工作的人或物Oven‘vn n.烤箱,炉Cassettek‘set n.盒式录音带;盒式录像带Handler‘hndl n.物品、商品的操作者Scribeskraib n.抄写员,抄书吏Street n.大街,街道Bladebleid n.刀口,刀刃,刀片Cutkt vt.&vi.切,剪,割,削Speedspi:d n.速度,速率Spindle‘spindl n.主轴,机器的轴Sizesaiz n.大小,尺寸,尺码Cooling'ku:li adj.冷却的Kerfk:f n.锯痕,截口,切口Widthwidθn.宽度,阔度,广度Chiptip n.碎片、缺口Chipping‘tipi n.碎屑,破片Crackkrk vt.使…开裂,破裂n.裂缝,缝隙Missing‘misi adj.失掉的,失踪的,找不到的Diedai vt.&vi.死亡芯片Saws:n.锯vt.&vi.锯,往复运动Streetstri:t n.大街,街道Filmfilm n.影片,电影薄膜,蓝膜Framefreim n.框架,骨架,构架Tapeteip n.带子;录音磁带;录像带Bubble'bbl n.泡,水泡,气泡mount---贴wafer---晶圆frame---框架blade---刀片tape---膜cassette---盒子completion---完成loader---上料un-loader---出料initial---初始化open---打开air---空气pressure---压力failure---失败vacuum---真空alignment---校准ink---黑点die---芯片error---错误limit---限制cover---盖子device---产品data---数据saw---切割water---水elevator---升降机spindle---主轴sensor---感应器wheel---轮子setup---测高rotary---旋转check---检查feed---进给cutter---切割speed---速度height---高度new---新shift---轮班pause---暂停clean---清洗center---中心chip---崩边change---变换enter---确认Offcenter---偏离中心broken---破的alarm---报警上芯:Attach‘tt vt.&vi.贴上;系;附上Bondbnd n.连接,接合,结合vt.使粘结,使结合Bonder‘bnd n.联接器,接合器,粘合器Dieattachmaterialepoxy粘片胶Epoxye‘pksi n.环氧树脂导电胶Materialm‘tiril n.材料,原料Non-conductiveepoxy绝缘胶Conductivekn‘dktiv adj.传导的Dispenserdis‘pens n.配药师,药剂师Nozzle‘nzl n.管嘴,喷嘴Rubber‘rb n.合成橡胶,橡皮Tiptip n.尖端,末端Diepick-uptool吸嘴Tooltu:l n.工具,用具Collectk‘lekt vt.收集,采集吸嘴Ejectori‘dekt n.驱逐者,放出器,排出器Pinpin n.针,大头针,别针LeadFrame引线框架Leadli:d vt.&vi.带路,领路,指引Framefreim n.框架,骨架,构架Magazine,mɡ‘zi:n n.杂志,期刊料盒Curing‘kjuri n.塑化,固化,硫化,硬化Oven‘vn n.烤箱,炉Scrapskrp n.小片,碎片,碎屑Dentdent n.凹痕,凹坑DieLift-off晶粒脱落芯片脱落,掉芯Skewskju:adj.歪,偏,斜Misorientation mis,:rien‘tein n.定向误差,取向误差Presqueezedel写胶前气压延时Postsqueezedel写胶后气压延时Squeezeskwi:z vt.榨取,挤出n.挤,榨,捏Ejecti‘dekt vt.&vi.弹出,喷出,排出Delaydi'lei n.延迟Heighthait n.高度,身高Level‘levl n.水平线,水平面;水平高度Headhed n.头部,领导,首脑Ejectupdelay顶针延迟Ejectupheigh t顶针高度Bondlevel粘片高度PickLevel捡拾芯片高度Headpickdelay粘接头拾取延迟Headbonddelay粘接头粘接延时Pickdelay捡拾芯片延时Bonddelay粘接芯片延时Index‘indeks n.索引;标志,象征;量度Clampklmp vt.&vi.夹紧;夹住n.夹具Indexclampdelay步进夹转换延时Indexdelay框架步进延时Sheari vt.剪羊毛,剪n.大剪刀Testtest n.测验,化验,试验,检验Diesheartest推晶试验Thickness'θiknis n.厚度,粗Coverage‘kvrid n.覆盖范围Epoxythickness&coverage导电胶厚度和覆盖率Orientation,:rien‘tein n.方向,目标DieOrientation芯片方向Voidvid adj.空的,空虚的n.太空,宇宙空间;空隙,空处;空虚感,失落感Epoxyvoid导电胶空洞Chiptip n.碎片Damage‘dmid vt.&vi.损害,毁坏,加害于n.损失,损害,损毁Chipdamage芯片损伤Backside‘bksaid n.臀部,屁股,背面Chipbacksidedamage芯片背面损伤Tilttilt vt.&vi.使倾斜Tilteddie芯片歪斜Epoxyondie芯片粘胶Crackkrk vt.&vi.使…开裂,破裂n.裂缝,缝隙Crackdie芯片裂缝/芯片裂痕Liftlift vt.&vi.举起,抬起n.抬,举Lifteddie翘芯片Misplace,mis‘pleis vt.把…放错位置Misplaceddie设置芯片NOdieonL/F空粘Insufficient,ns‘fint adj.不足的,不够的Insufficientepoxy导电胶不足Epoxycrack导电胶多胶Epoxycuring银浆烘烤Edgeed n.边,棱,边缘Partial‘pɑ:l adj.部分的,不完全的Mirror‘mir n.镜子Missing‘misi adj.失掉的,失踪的,找不到的Edgedie/partialdie边缘片/边沿芯片Mirrordie光片/镜子芯片Missingdie掉芯/漏芯/掉片Splashspl vt.使液体溅起vi.液体溅落Splatter‘splt vt.&vi.使某物溅泼Diagram‘daiɡrm n.图解,简图,图表Inksplash/inksplatter墨溅Diebondingdiagram上芯图Dieshesrtest推片实验/推晶试验Diesheartester推片试验机Dieshesrtool推片头Metalcorrosion晶粒腐蚀/芯片腐蚀Wafermappingsystem芯片分级系统System'sistm n.系统;体系wafer---晶圆die---芯片attach---粘贴glue---银胶substrate---基板magazine---盒子inspection---检查parameter---参数manual---操作手册reset---重设enter---确定error---错误input---输入speed---速度stop---停止pressure---压力vacuum---真空sensor---传感器backside---背面pin---针statistics---统计calibration---校正bond---贴片conversion---改机thickness---厚度tilt---倾斜度shape---形状adjust---调整contact---接触cover---覆盖device---产品chip---崩边pause---暂停elevator---升降机initial---初始化alignment---校准cassette---盒子tape---膜frame---框架ring---铁圈temperature---温度rubbertip---吸嘴frametype---框架型号nozzle---点胶头writer---划胶头压焊:Wire‘wai n.金属丝,金属线;电线,导线Bondbnd n.接合,结合vt.使粘结,使结合Wirebond/Wiringbonding压焊/焊丝/球焊Goldwire金丝Padpd vt.给…装衬垫,加垫子n.垫,护垫Bondpad焊点、铝垫1stbond第一焊点Padsize焊点尺寸/铝垫尺寸Capillaryk‘pilri n.毛细管;毛细血管劈刀Pitchpit程度;强度;高度Padpitch铝垫间距/焊点间距Elongationi:l‘ɡein n.延长;延长线;延伸率Breaking‘breiki n.破坏,阻断Loadlud n.负荷;负担;工作量,负荷量BreakingLoad破断力Pullpul vt.&vi.拉,扯,拔Sheari vt.剪羊毛,剪n.大剪刀Wirepull/ballpull焊丝拉力Wireshear/ballshear焊丝推力Ultrasonic,ltr‘snik adj.声波超声的Power‘pau n.功力,动力,功率Forcef:s n.力;力量;力气Ultrasonicpower超声功率Bondingforce压力Bondingtime时间Temperature‘temprit n.温度,气温Bondingtemperature温度Ultrasonicwirebonding超声波压焊EFO打火烧球looplu:p n.圈,环,环状物Loopheight孤高Wirepulltest拉力试验Ballsheartest金球推力试验PIN1第一脚Ballheight球高Balldiameter球径Cr atering‘kreitri n.缩孔;陷穴弹坑KOHetchingtest KOH腐蚀试验BondCrateringtest压焊腐蚀试验弹坑试验Thermal‘θ:ml adj.热的,热量的Compressionkm‘pren n.挤压,压缩TCBThermalCompressionBond热压焊BondingDiagram压焊图/布线图WrongBonding布线错误Incomplete,nkm‘pli:t adj.不完全的,未完成的Incompletebond焊不牢Nobonding无焊N2BOX氮气柜RTPC实时过程监控Traytrei n.盘子,托盘HandingTray产品盘FBI压焊后目检FBIinsp-M/C压焊检验机Microscope‘maikrskup n.显微镜LowPowerMicroscope低倍显微镜Fluxflks n.熔剂、焊剂;助熔剂,助焊剂Hookhuk vt.&vi.钩住,吊住,挂住Wirepullhook线钩测拉力Ballsheartool推球头测推力Metal‘metl n.金属Discolordis‘kl v.使脱色;使变色,使褪色O xide‘ksaid n.氧化物MetalDiscolor铝条变色BondPadDiscolor铝垫变色BondPadOxide铝垫氧化Stickstik vt.&vi.粘贴,张贴Peeling‘pi:li n.剥皮,剥下的皮Cratering‘kreitri n.缩孔;陷穴弹坑Nonstickbondonpad铝垫不粘Bondpadpeeling铝垫脱落Bondpadcratering铝垫弹坑Limit‘limit vt.限制;限定Scratchskrt vt.&vi.抓,搔,刮伤Overreworklimit超过返工数Bondremove/scratch剔球划伤Ballbondnon-stick金球脱落Balltolargesmall金球过大小Ballbondshort金球短路Non-stickonlead引脚脱落鱼尾脱落misplace,mis‘pleis vt.把…放错位置connectionk‘nekn n.连接,联结MisplacedbondonLD压焊打偏Wirebroken断线Missingwire漏打Wrongconnection错打defectivedi‘fektiv adj.有缺陷的,欠缺的Defectivelooping弧度不良Sagging‘sɡi n.下垂沉,陷,松垂,垂度Loopsagging弧度下陷Lowloop弧度太低Highloop弧度太高Loopshort弧度短路Overhang,uv‘h vt.伸出;悬挂于…之上Residue‘rezidju:n.剩余,余渣Distortiondis‘t:n n.歪曲,曲解WireoverhangonLD跨越引线框架Wireresidue残丝LFdistortion引线框架变形Quantity‘kwntiti n.数目,数量Mismatch‘mis’mt vt.使配错,使配合不当Scrapskrp n.废料vt.废弃,丢弃Scratchskrt vt.刮伤QuantityMismatch数量不符空粘未报废GoldWireScratch金丝受损Parameter---参数Statistics---统计Utility---应用Teach---教习Bondtipoffset—焊线点纠偏Contactsearch---接触测高Zoomoffcenter---放大倍数偏心校准Calibration---校准BQM---焊接质量控制PR—patterrecognition—图像识别Alignmenttolerance—对点偏差PRindexing—图像控制下的步进Capillary---焊线劈刀Wirespool—送线卷轴Windowclamp—窗口夹板Transducer—功率换能器FTN---功能键Wirethreading—送线器EFO---电子打火Linearpower---线性马达Vacuumsensor---真空感应器Stepdriver—步进驱动Postbondinspection—焊接后检查Wirepull—拉线Ballshape—推球Ballsize—焊球大小Ballthickness—焊球高度Loopheight—线弧高度Loopshape—线弧形状Neckcrack—线颈折损Fineadjust–精确调整Conversion–换产品1stbondnonstick—第一点不粘2ndbondnonstick—第二点不粘peeling---拔铝垫扯皮Bondoff---脱焊Balldeformation—焊球变形servomotor—伺服电机weldoff---管脚脱焊crater---裂缝goldwire---金线missingball---球未烧好weakbond---虚焊塑封:Moldmuld n.模子,铸型vt.浇铸,塑造Molding‘muldi n.成型塑封Compound‘kmpaund n.复合物,化合物MoidingM/C;MoldPress塑封机Presspres n.印刷机Heater‘hi:t n.加热器;炉子Pre-heater预热机Chaseteis n.追捕,追猎Molddie/Moldchase塑封模具MGPmold MGP多缸模具Automold自动包封机loadlud vt.&vi.1把…装上车船2装…loader'lud n.装货的人,装货设备,装弹机AutoL/Floader自动排片机handler‘hndl n.动物驯化者抓手temperature‘temprit n.温度,气温Pre-heatTemperature料饼预热温度MoldTemperature模具温度Clampklmp vt.&vi.夹紧;夹住n.夹具Pressure‘pre n.压力,压强ClampPressure合模压强Transferpressure注塑压强Transfertrns‘f:vt.&vi.转移;迁移n.转移Curing‘kjuri n.塑化,固化,硫化,硬化Curingtime固化时间Curingtemperature固化温度Pre-heatTime料饼预热时间Transferspeed注塑速度Transfertime注塑时间PMCtimePostMoldCureTime后固化时间Load/unload上料/下料Sweepswi:p vt.&vi.扫,打扫,拂去WireSweep冲丝Open开路Short短路Fillfil vt.&vi.使充满,使装满,填满Underfill'ndfil n.孔型未充满Bodyunderfilled胶体未灌满Incomplete,nkm‘pli:t adj.不完全的,未完成的Incompletemold未封满Chiptip n.碎片,缺口Chippackage/bodychip-out崩角Porosityp:‘rsiti n.多孔性,有孔性PorosityBody胶体麻点Bubble‘b bl n.泡,水泡,气泡Blister‘blist n.气泡vt.&vi.使起水泡Smearsmi vt.弄脏,弄污n.污迹,污斑Surface‘s:fis n.面,表面Rooughsurface不均匀表面Delaminatedi:‘lmneit v.将…分层,分成细层Delaminating分层Voidvid adj.空的,空虚的PKGVoid胶体空洞Deepdi:p adj.深的Scratchskrt vt.刮伤Bodydeepscratch胶体刮痕Dimensiondi‘menn n.尺寸,度量MoldPKGdimension塑封体尺寸BTMwidth/length背面宽/长Topwidth/length正面宽/长PKGthick塑封体厚度Mismatch‘mis’mt vt.使配错,使配合不当Moldmismatch/PKGmismatch包封偏差胶体错位Offset‘fset vt.抵消,补偿Misalignment‘mislainmnt n.未对准Moldoffset/PKGmisalignment偏心PMCpostmoldcure后固化Dummy‘dmi n.人体模型Stripstrip vt.剥去,剥夺,夺走Dummymoldedstrip空封Moldflash废胶Gateɡeit n.门,栅栏门Moldgate注浇口、进浇口Remainri‘mein n.剩余物;残余Gateremain小脚Compound‘kmpaund n.复合物,化合物Aging‘eidi n.老化,成熟的过程CompoundAging料饼醒料回温过程Locatorlu‘keit n.表示位置之物,土地Blockblk n.大块木料、石料、金属、冰等LocatorBlock定位块Ejectori‘dekt n.驱逐者,放出器Pinpin n.大头针,别针,针Depthdepθn.深,深度EjectorPin顶针E-pinDepth顶针深度Storage‘st:rid n.储藏处,仓库Coldroom/compoundstorage冷藏库/料饼存放库Air n.空气Gunɡn n.枪,炮Coating‘kuti n.涂层,覆盖层Materialm‘tiril n.材料,原料,素材,资料AirGun气枪DieCoating芯片涂胶AutodiecoatingM/C芯片涂胶机DieCoatingMaterial覆晶胶Cartkɑ:t n.手推车ASS’YBCart后站推车Tablet‘tblit n.药片、胶囊Loader‘lud n.装货的人,装货设备,装弹机Preheater‘pri:’hi:t n.预热器Fixture‘fikst n.房屋等的固定装置AutoTabletLoader自动排胶粒机CompoudPreheater高频预热机Load/UnloadFixture上料/下料架TabletMagazine胶粒盒CompoudTablets塑封料饼MoldingCleaningCompoud洗模饼misorientationmis,:rien‘tein n.定向误差,取向误差PKGMisorientation胶体压反Moldflashonlead塑封溢胶Moldcrack胶体裂痕Semiconductor---半导体Molding–模封Onload---上料Offload–出料Belt—皮带Preheaterturntable–预热转盘Transfer---传送SafetyDoor---安全门Pickandplace–机械手Motor---马达Station–模腔Cleaningbrush—清洁刷Cylinder---气缸Sensor---传感器Solenoid---电磁阀Turnover–翻转器Degate–切料口Bearing---轴承Picker---爪子Pusher–推动器Cullbin–垃圾箱Pin---针Vacuumpump—真空泵Mornitor–显示器Cable–导线Profile---温度曲线Alarm---报警Error---错误Driver---驱动Sensor–感应器Inspection---检查Parameter---参数Manual---手动,手册Reset---复位Initialing---初始化Guide–导轨Substrate---基板Device---产品种类LotTraveller---随工单Magazine---盒子Cylinder–汽缸Bearing–轴承Stop---停止EmergencyStop---紧急停止Gripper--夹子Heat–加热器Pipe–管子Temperature---温度Hopper–漏斗Compressair–压缩空气Overflow—反面漏胶Semiconductor---半导体Molding–模封Operation–操作Flange–法兰盘Pump–泵Chamber–腔体Vent–气孔Value–值Alarm---报警Error---错误Inspection---检查Parameter---参数Manual---手动,手册Reset---复位Initialing---初始化Incompletefill模封不全inching---扭曲Overflow---漏胶Misalignment---模封错位Packagemismatch---模封错位ResinHole/Void---气孔Foreignmaterials---外来物Wiresweep---线弯曲Roughsurface---表面粗糙WrongOrientation---模封方向反工程师样品Stain/Dirtyonpackage---表面脏污Resinburr---树脂有毛刺Resinflashes---毛刺DamageframeFRAME---损坏Scratchonpackage---树脂表面划伤Evaluation----评估Crackpackage---树脂开裂SPCsample---SPC样品切筋Trim-Form1切筋TrimmingDambarcut2切筋模Trimdie3成形模Formdie4分离模singulate5冲废De-junk6检测Inspection外观检测7再成型机模具ReformDie8再成型机Reformsystem9料盘Plastictray10连筋Uncutdambar11毛刺burr14溢料Junk15裂纹Crack16离层分层Delaminating17管脚反翘Leadtipbend18筋未切Dam-baruncut19筋凸出Dam-barprotrusion20筋切入Dam-barcutin打印Marking1打印Marking2印章Markinglayout3激光打印Lasermarking4油墨打印InkUVmarking5正印Topsidemark6背印Backsidemark7镜头Lens8打印不良\模糊Illegiblemarking 9漏打Nomarking10断字Brokencharacter11缺字Missingcharacter12印字倾斜Slantmarking13印记错误Wrongmarking14重印Remark15印字模糊褪色Fademark16印字粘污Smear19电流current21字体字形Font22定位针Locationpin23胶皮打印机Padprinter24激光打印机LaserMarkingM/C25后固化PMCPostMoldCure26后固化烤箱PMCOven27打印污斑Markingstain28印记移位Markingshift电镀Plating1电镀Plating2来料Incoming3冲废Dejunk4热煮软化槽SockingTank7检验Inspection外观检测8烘烤Curing/Baking150℃;60-90ms 9出料Unload10高速线电镀High-speedPlatingLine11统计过程控制SPC12搭锡Solderbridge13锡丝、锡须Solderflick/Whisker14镀层不良Platingdefects15发黄Yellowish16发黑Blacken17变色Discolor18露底材露铜Exposecopper19粘污Smear20镀层厚度Platingthickness7-20um21镀层成分Platingcomposition电镀成分,Sn 22外观Outgoing23易焊性Solderability24无铅化Pb-free/leadfree25结合力Adhesiveforce26可靠性Reliability27电解Electrolyticdeflash28清洗自来水Citywater29高压清洗Highpressurerinse30脱脂Descale31清洗纯水DIwater32活化合金Activation33预镀、预浸Pre-dip34电镀Plating35吹风Airblow36中和Neutralization37褪镀Stripper38拖出Dragout39上料机Loader40下料机Unloader41纯锡Tin42纯水去离子水DIwater43水压Waterpressure44理化分析Physicalandchemicalanalysis45测厚仪PlatingThicknessMeter/ElectroplatedCoatingThicknessTest 46离子污染度测试仪IonContaminationTesterContaminoCT10047 C含量测试仪CarbonTester51去氧化HSCUDescale52预浸Pre-dip53电镀电流Current54镀液温度Temperature电镀液platingsolution55电镀槽platingtank56中和Neutralization59烘干Curing60锡球Solderball61锡厚度和成分Snthickness&composition62冲废De-junk去胶渣63去溢料Degate冲塑,冲胶64去飞边Deflash去胶塑封工序65锡铅电镀Tinleadplating66无铅电镀Leadfreeplating;Puretinplating67镀层起泡Solderbump68镀层剥落Solderpeeloff69镀层偏厚或偏薄ThickorThinPlating70退锡Solderremove71电镀报废Platingscrap72锡渣Solderpeeling73电镀锡块Solderbump74电镀桥接Platingbridge75电镀变色SPDiscoloration76电镀污染SP Contamination77电镀锡攀爬SPadhere78电解除油Electro-degreasing 测试Testing1测试Testing2打印Lasermark3编带机Tape&ReelMachine4编带Reel5测试机Tester6分选机TrayTestHandler7Vision检测Directionvision8划伤Scratch9打错Wrongmark10断字Brokencharacter11漏字Nomarking12模糊Fademark13脚长Leadlength14脚宽Leadwidth15站立度Standup16脚间距Leadpitch17共面性Coplanarity18跨度Rowspace19电性能测试Electricaltest20塑料管Plastictube21编带Reel/Tape22托盘,盘装Tray23扫描测脚LeadsScan/Inspection24扫描测脚机Leadsscanner 25投影仪ProfileProjector 测试TestingLaser激光Lamp灯管Lampcurrent灯管电流Markinglayout打印内容Powersupply电源Frequency频率On-loader上料部分Off-loader下料部分Markingbox打印区域Track轨道Locationpin定位针Scanner扫描器Beam光束Beampath光路Barcode条形码Sensor传感器Motor马达Driver驱动器Index步进Tool模具Press模具Punch刀具Jam卡料Forming成型Cylinder气缸Laserhead光头Magazine盒子Tube管子Tray板子Arm机械臂Safetydoor安全门Reset复位Lamp灯管Keyboard键盘Alarm报警Error错误Open/ShortO/S开路/短路FunctionReject功能失效ParameterReject参数失效RetentionReject保持力失效IccReject电流失效TestProgram测试程序Coldtest冷测Retest重新测试Rework返工Sample抽样Resample重新抽样Blackbox盛放未测试产品的黑盒子Testingarea测试区域Testchuck测试平台DeviceInterfaceBoardDIB芯片测试接口板DUT正在测试芯片A/Danalog-to-digitalconverter模/数转换模块EOT测试结束信号SOT测试开始信号BINsignal分BIN信号Socket测试座JIG/TestHead测试盒/测试头InterfaceCard接口通讯卡InterfaceCable接口通讯线CoaxialCable同轴线Testparameter测试参数TesterComputer测试机主机Testlimit测试结果的上下限ACMultiplexer多路交流信号板DigitalDriverandDetector数字输入/输出装置DualVoltage/CurrentSource双路电压/电流源StationMonitor显示测试结果的窗口Checker检测程序High-SpeedDigitalHSDInstrument高速数字测试设备High-Current-Voltage-Source高电流电压源Finger金手指Contactor金手指动作模块Conveymotor变送马达Contactside测试位置GeneralControlPanel总控制面板Ionizer离子风扇Capacitorbox电容盒。
半导体封装术语
1. “封装基板”,你知道手机、电脑这些电子设备里都有它的身影吗?就好比是房子的地基一样重要!
2. “引线键合”,这就像是给半导体元件搭起的特殊桥梁,让它们能更好地沟通协作呢!
3. “倒装芯片”,哎呀,这可真是个厉害的家伙,把芯片倒过来安装,效果却出奇地好,神奇吧!
4. “塑封料”,它就像是半导体的保护衣,把它们好好地包裹起来,给予贴心保护呀!
5. “芯片贴装”,这就像是给芯片找个安稳的家,让它能安心地工作哟!
6. “金线”,这细细的金线可不容小觑,它可是半导体里的重要连接线呢,就像我们的神经一样!
7. “封装工艺”,这可是个复杂又精细的过程,就如同雕琢一件艺术品一样呢!
8. “散热片”,它可是半导体的“清凉小助手”,帮助它们散去多余的热量呀!
9. “封装测试”,这就像是给半导体做一次全面的体检,确保它们健康着呢!
10. “管脚”,这些小小的管脚就像是半导体的触角,用来和外界交流沟通呀!
我的观点结论就是:半导体封装术语真的很神奇,每个术语都有着独特的作用和意义,它们共同推动着半导体行业的发展。
IC封装术语(中英文对照)1、SOW (Small Outline Package(Wide—Jype))宽体SOP。
部分半导体厂家采用的名称。
2、SOF(small Out-Line package)小外形封装.表面贴装型封装之一,引脚从封装两侧引出呈海鸥翼状(L 字形)。
材料有塑料和陶瓷两种.另外也叫SOL 和DFP。
SOP 除了用于存储器LSI 外,也广泛用于规模不太大的ASSP 等电路。
在输入输出端子不超过10~40 的领域,SOP 是普及最广的表面贴装封装.引脚中心距1。
27mm,引脚数从8~44。
另外,引脚中心距小于1。
27mm 的SOP 也称为SSOP;装配高度不到1。
27mm 的SOP 也称为TSOP(见SSOP、TSOP).还有一种带有散热片的SOP。
3、SONF(Small Out-Line Non—Fin)无散热片的SOP。
与通常的SOP 相同。
为了在功率IC 封装中表示无散热片的区别,有意增添了NF(non-fin)标记。
部分半导体厂家采用的名称(见SOP)。
4、SQL(Small Out-Line L—leaded package)按照JEDEC(美国联合电子设备工程委员会)标准对SOP 所采用的名称(见SOP)。
5、SOJ(Small Out-Line J—Leaded Package)J 形引脚小外型封装。
表面贴装型封装之一。
引脚从封装两侧引出向下呈J 字形,故此得名。
通常为塑料制品,多数用于DRAM 和SRAM 等存储器LSI 电路,但绝大部分是DRAM。
用SOJ封装的DRAM 器件很多都装配在SIMM 上。
引脚中心距1。
27mm,引脚数从20 至40(见SIMM)。
6、SOIC(small out—line integrated circuit)SOP 的别称(见SOP)。
国外有许多半导体厂家采用此名称。
7、SOI(small out-line I—leaded package)I 形引脚小外型封装。
半导体一些术语的中英文对照离子注入机ion implanterLSS理论Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。
沟道效应channeling effect射程分布range distribution深度分布depth distribution投影射程projected range阻止距离stopping distance阻止本领stopping power标准阻止截面standard stopping cross section 退火annealing激活能activation energy等温退火isothermal annealing激光退火laser annealing应力感生缺陷stress-induced defect择优取向preferred orientation制版工艺mask-making technology图形畸变pattern distortion初缩first minification精缩final minification母版master mask铬版chromium plate干版dry plate乳胶版emulsion plate透明版see-through plate高分辨率版high resolution plate, HRP超微粒干版plate for ultra-microminiaturization 掩模mask掩模对准mask alignment对准精度alignment precision光刻胶photoresist又称“光致抗蚀剂”。
负性光刻胶negative photoresist正性光刻胶positive photoresist无机光刻胶inorganic resist多层光刻胶multilevel resist电子束光刻胶electron beam resistX射线光刻胶X-ray resist刷洗scrubbing甩胶spinning涂胶photoresist coating后烘postbaking光刻photolithographyX射线光刻X-ray lithography电子束光刻electron beam lithography离子束光刻ion beam lithography深紫外光刻deep-UV lithography光刻机mask aligner投影光刻机projection mask aligner曝光exposure接触式曝光法contact exposure method接近式曝光法proximity exposure method光学投影曝光法optical projection exposure method 电子束曝光系统electron beam exposure system分步重复系统step-and-repeat system显影development线宽linewidth去胶stripping of photoresist氧化去胶removing of photoresist by oxidation等离子[体]去胶removing of photoresist by plasma 刻蚀etching干法刻蚀dry etching反应离子刻蚀reactive ion etching, RIE各向同性刻蚀isotropic etching各向异性刻蚀anisotropic etching反应溅射刻蚀reactive sputter etching离子铣ion beam milling又称“离子磨削”。
质量管理体系文件封装和质量术语以下是 TI 常见封装组、系列和偏好代码的定义,此外还有在评估 TI 封装选项时可能十分有用的其他重要术语。
常见封装组定义BGA球栅阵列CFP同时包括定型和不定型 CFP = 陶瓷扁平封装LGA基板栅格阵列PFM塑料法兰安装封装QFP四方扁平封装SIP单列直插式封装OPTO*光传感器封装 = 光学RFID射频识别设备CGA柱栅阵列COF薄膜覆晶COG玻璃覆晶DIP双列直插式封装DSBGA芯片尺寸球栅阵列(WCSP = 晶圆级芯片封装)LCC引线式芯片载体NFMCA-LID带盖的基体金属腔PGA针栅阵列POS基板封装QFN四方扁平封装无引线SO小外形SON小外形无引线质量管理体系文件常见封装组定义TO晶体管外壳ZIP锯齿形直插式uCSP微型芯片级封装DLP数字光处理模块模块TAB载带自动键合封装封装系列定义CBGA陶瓷球栅阵列CDIP玻璃密封陶瓷双列直插式封装CDIP SB侧面钎焊陶瓷双列直插式封装CPGA陶瓷针栅阵列CZIP陶瓷锯齿形封装DFP双侧引脚扁平封装FC/CSP倒装芯片/芯片级封装HLQFP热增强型低厚度 QFPHQFP热增强型四方扁平封装HSOP热增强型小外形封装HTQFP热增强型薄型四方扁平封装HTSSOP热增强型薄型紧缩小外形封装HVQFP热增强型极薄四方扁平封装JLCC J 形引线式陶瓷或金属芯片载体LCCC无引线陶瓷芯片载体LQFP低厚度四方扁平封装PDIP塑料双列直插式封装SOJ J 形引线式小外形封装SOP小外形封装(日本)SSOP紧缩小外形封装TQFP薄型四方扁平封装TSSOP薄型紧缩小外形封装TVFLGA薄型极细基板栅格阵列TVSOP极薄小外形封装VQFP极薄四方扁平封装DIMM*双列直插式内存模块HSSOP*热增强型紧缩小外形封装LPCC*无引线塑料芯片载体MCM*多芯片模块MQFP*金属四方扁平封装PLCC*塑料引线式芯片载体PPGA*塑料针栅阵列SDIP*紧缩双列直插式封装SIMM*单列直插式内存模块SODIMM*小外形双列直插式内存模块TSOP*薄型小外形封装VSOP*极小外形封装XCEPT*例外 - 可能不是实际封装产品偏好代码定义P首选封装。
半导体术语表第1页共68页半导体术语表第2页共68页amorphous 非晶的,无定型an alog 模拟信号angstrom 埃anion 阴离子an isotropic etch profile 各向异性刻蚀剖面ann eal 退火an tim ony(sb) 锑an tirelective coat in g(ARC) 抗反射涂层APCVD 常压化学气向淀积applicatio n specific IC(ASIC) 专用集成电路aqueous soluti on 水溶液area array 面阵歹Uargon (Ar) n.[化]氩arse ni c(As) 砷arsin e(AsH3) 砷化氢,砷烷ashi ng 灰化,去胶aspect ratio 深宽比,高宽比aspect ratio depe ndent etchi ng(ARDE) 与刻蚀相关的深宽比asphyxia nt 窒息剂assay n umber 检定数atmospheric adj. 大气的atmospheric pressure 大气压atmospheric pressure CVD(APCVD) 常压化学气向淀半导体术语表第3页共68页backi ng film 背膜baffle vt. 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇编(挡片块)ball grid array(BGA) 球栅阵列ballroom layout barrel reactor舞厅式布局,超净间的布局圆桶型反应室barrier metal 阻挡层金属barrier voltage 势垒电压base 基极,基区batch 批半导体术语表第4页共68页bay and chase layout 生产区和技术夹层区beam blow-up 离子束膨胀beam curre nt 束流beam decelerati on 束流减速beam energy 离子束能量beol (生产线)后端工序best focus 最佳聚焦BGA 球栅阵列Biasi ng 电压拉偏BICMOS 双极CMOS半导体术语表第5页共68页半导体术语表 第6页共68页突破步骤,起始的干法刻蚀步骤亮场检查涮洗buffered oxide etch(BOE) bulk chemical distributi on bulk gases 大批气体 bulkhead equipme nt layout bumped chip 凸点式芯片buried layer 埋层 burn-box 燃烧室(或盒) bur n-i n 老化 CA 化学放大(胶) can tilever n.[建]悬臂 can tilever paddle 悬臂桨 cap oxide 掩蔽氧化层 capacita nce电容capacita nce-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器breakthrough step brightfield detection brush scrubb ing bubbler 带鼓泡槽氧化层腐蚀缓冲液 批量化学材料配送 穿壁式设备布局半导体术语表第7页共68页caro ' s acid 3 号液carrier 载流子carrier-depleti on region 载流子耗尽层carrier gas 携带气体cassette (承)片架cati on 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD-SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面cen ter slow 中心慢速central process ing uni t(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Cha nnel 沟道cha nn el le ngth 沟道长度cha nn eli ng 沟道效应charge carrier 载流子chase技术夹层chelati ng agent 螯合齐ijchemical amplificatio n(CA) 化学放大胶chemical etch mecha半导体术语表第8页共68页nism 化学刻蚀机理chemical mecha ni cal pla narizati on (CMP) 化学机械平坦化chemical soluti on 化学溶液chemical vapor depositio n(CVD) 化学气相淀积chip 芯片chip on board(COB) 板上芯片chip scale package(CSP) 芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级别clea nroom 净化间clea nroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficie nt n.[数]系数Coefficie nt of thermal expa nsio n(CTE)热涨系数半导体术语表第9页共68页Cohere nce probe microscope 相干探测显微镜Cohere nt light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputteri ng 准直溅射Compensate v.偿还,补偿,付报酬Compo und semic on ductor 化合物半导体Con ce ntrati on 浓度Conden sation 浓缩Con ductor 导体constantly adv.不变地,经常地,坚持不懈地Co nfocal microscope 共聚焦显微镜Con formal step coverage 共型台阶覆盖Con tact 接触(孔)Con tact alig nment 接触式对准(光刻)Con tact an gle meter 接触角度仪Con tam in ati on 沾污、污染conti boat 连柱舟半导体术语表第10页共68页con ticaster [冶]连铸机Contin uous spray develop 连续喷雾显影Con tour maps 包络图、等位图、等值图Co ntrast 对比度、反差contribution n. 捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVDCopper in terc onnect 铜互连Cost of own ership(COO) 业主总成本Coval ent bond 共价键Critical dime nsio n 关键尺寸Cryoge nic aerosol clea ning 冷凝浮质清洗Cryoge nic pump(cryopump) 冷凝泵Crystal 晶体Crystal activatio n 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orie ntati on 晶向CTE 热涨系数半导体术语表第11页共68页Curre nt-drive n curre nt amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamasce ne 大马士革工艺darkfiled detectio n 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects den sity 缺陷密度defect 缺陷deglaze 漂氧化层degree of pla narity(DP) 平整度dehydrati on bake 去湿烘培,脱水烘培den sity 密度deple nti on mode 耗尽型半导体术语表第12页共68页degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt.存放,堆积vi.沉淀depositi on 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT) 可测试设计desorpt ion 解吸附作用develop in spect 显影检查developme nt 显影developer 显影液deviati on n. 背离device isolati on 器件隔离device tech no logy 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosila ne(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alig nme nt 逐个芯片对准dielectric 介质dielectric con sta nt 介电常数die matrix 芯片阵列die separati on 分片diffraction 衍射半导体术语表第13页共68页diffractio n-limited optics 限制衍射镜片diffusion 扩散diffusi on con trolled 受控扩散digital/analog 数字/模拟digital circuitdilue ntdirect chip attach( DCA)direct ion ality discrete dishi ng dislocati on dissoluti on rate dissolution rate mon itor(DRM) 溶解率监测DNQ- novolak 重氮柰醌一酚醛树脂Donor 施主dopa nt profile 掺杂刨面) doped region 掺杂区dop ing 掺杂dose mo nitor 剂量检测仪dose,Q 剂量dow nstream reactor 顺流法反应drain 漏drive-in 推进dry etch 干法刻蚀dry mecha ni cal pump 干式机械泵dry oxidati on 干法氧化dummy n.哑巴,傀儡,假人,假货adj. 假的,虚虚拟的,构的n.[计]哑元dynamic adj. 动力的,动力学的,动态的E半导体术语表第14页共68页econ omies of scale 规模经济edge bead removal 边缘去胶edge die 边缘芯片edge exclusi on 无效边缘区域electrically erasable PROM 电可擦除EPROMelectrode 电极electromigrati on 电迁徙electro n beam lithography 电子束光刻electro n cyclotro n reso nance 电子共振回旋加速器electro n shower 电子簇射,电子喷淋electro n stopp ing 电子阻止electro nic wafer map 硅片上电性能分布图electroplat ing 电镀electropolishi ng 电解拋光electrostatic chuck 静电吸盘electrostatic discharge(ESD) 静电放电ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极en dpo int detecti on 终点检测engin eeri ng n.工程(学) electrostatic discharge(EDX) 能量弥散谱仪enhan ceme nt mode 增强型epi 夕卜延epitaxial layer 夕卜延层epoxy un derfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器半导体术语表第15页共68页erosion 腐蚀,浸蚀establish vt.建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias 刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch un iformity 刻蚀均匀性etcha nt 刻蚀剂etchback pla narizati on 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporati on 蒸发even adj.平的,平滑的,偶数的,一致的,平静的, 恰好的,平均的,连贯的adv.[加强语气]甚至(…也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量半导体术语表第16页共68页extracti on electrode 吸极extreme UV 极紫外线extri nsic silic on 掺杂硅FFables 无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furn aces 快速升降温炉fault model 失效模式FCC diam ond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s laws FICK 定律field-effect tran sistor 场效应晶体管field oxide 场氧化field-by-field alig nme nt 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packag ing 最终装配和圭寸装final test 终测first in terlayer dielectric(ILD-1) 第一层层间介质fixed oxide charge 固定氧化物电荷半导体术语表第17页共68页flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal le ngth 焦距focal pla ne 焦平面focal point 焦点focus 聚焦focus ion beam(FIB) 聚焦离子束footpri nt 占地面积formula n.公式,规则,客套语forward bias 正偏压four-po int probe 四探针frenkel defect Frenkel 缺陷fron t-ope ning uni fied pod(FOUP)前开口盒fun ctio nal test 功能测试furn ace flat zone 恒温区Gg-line G 线gallium(Ga)镓gallium arse nide(GaAs) 砷化镓gap fill 间隙填充gas 气体gas cabinet 气柜gas man ifold 气瓶集装gas phase n ucleati on 气相成核gas purge 气体冲洗gas throughput 气体产量半导体术语表第18页共68页gate 栅gate oxide 栅氧化硅gate oxide in tegrity 栅氧完整性germa ni um(Ge) 错getter 俘获glass 玻璃glazi ng 光滑表面global alig nment 全局对准global pla narizatio n 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grow n oxide layer 热氧化生长氧化层HHaloge n 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic seali ng 密圭寸heteroepitaxy 异质外延heteroge neous reacti on 异质反应hexamethyldisilaza ne(HMDS)六甲基二硅氨烷high-de nsity plasma(HDPCVD) 高密度等离子体化学气相淀积high-de nsity plasma etch 高密度等离子刻蚀high-pressure oxidati on 高压氧化high-temperature diffusi on furn ace高温扩散炉high vacuum 高真空high vacuum pumps 高真空泵半导体术语表第19页共68页半导体术语表 第20页共68页IC reliability 集成电路可靠性 Iddq test ing 静态漏电流测试 image resolution 图象清晰度 图象分解力 impla nt v.灌输(注入) impurity 杂质 in creme nt n. 增加,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母in situ measurem ents 在线测量 in dex of refraction 折射率 indium 铟in ductively coupled plasma(ICP) 电感耦合等离子体 in ert gas 惰性气体in frared in terfere nce 红外干涉 in got 锭ink mark 墨水标识在线参数测试 输入/输出管脚 学院,协会 vt.创立,开始,制 定,开始(调查),提起(诉讼) in sulator 绝缘体in-li ne parametric test in put/output(I/O)pin institute n. 学会,半导体术语表第21页共68页in tegrated measurem ent tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连in terco nn ect delay 互连连线延迟in terface-trapped charge 界面陷阱电荷in terferometer 干涉仪in terlayer dielectric(ILD) 层间介质in terstitial 间隙(原子)in tri nsic silic on 本征硅in voke v. 调用ion 离子ion analyzer 离子分析仪ion beam milli ng or ion beam etch in g(IBE) 离子铣或离子束刻蚀ion impla ntati on 离子注入ion impla ntati on damage 离子注入损伤ion impla ntati on dop ing 离子注入掺杂ion impla nter 离子注入机ion projectio n lithography(IPL) 离子投影机ioni zati on 离子化ion ized metal plasma PVD 离子化金属等离子IPA PVD半导体术语表第22页共68页vapor dry 异丙醇气相干燥isolati on regions 隔离区isotropic etch profile 各向同性刻蚀刨面JJEFT结型场效应管jun ctio n(p n) PN 结jun cti on depth 结深jun cti on spik ing 结尖刺KKelvi n 绝对温度killer defect 致命缺陷ki netically con trolled react ion 功能控制效应Llam inar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusi on 横向扩散law of reflecti on 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compact ion 透镜收缩light 光light in te nsity 光强light scatteri ng 光散射lightly doped drai n(LDD) 轻掺杂漏半导体术语表第23页共68页lin ear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区lin ewidth 线宽liquid 液体lithography 光刻loaded brush 沾污的毛刷loaded effect负载效应loadlock 真空锁local in terco nn ect(LI) 局部互连local pla narizati on 局部平坦化local oxidation of silico n(LOCOS) 硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD)彳氐压化学气相淀积LSI大规模集成电路Mmagnetic CZ ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputteri ng 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop 补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,半导体术语表第24页共68页掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow con troller(MFC) 质量流量计mass spectrometer 质谱仪mass-tra nsport limited reacti on质量传输限制效应mathematical adj.数学的,精确的mea n free path(MFP) 平均自由程medium vacuum 中真空半导体术语表第25页共68页megasonic cleaning 超声清洗melt熔融membra ne con tactor 薄膜接触器,隔膜接触器membra ne filter 薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metaladj. contact 金属接触孔metal impurities 金属杂质metalstack 复合金属,金属堆叠metallizati on 金属化metalorga nic CVD 金属有机化学气相淀积metrology 度量衡学microchip 微芯片microdefect 微缺陷microlithography 微光刻microloadi ng 微负载,与刻蚀相关的深宽比micron 微米microprocessor n.[计]微处理器microprocessor unit 微处理器microrough ness 微粗糙度Miller in dices 密勒指数minienvironment 微环境mi ni mum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ion ic co ntami nan ts(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt. 更改,修改v.修改molecular beam epitaxy (MBE)分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,半导体术语表第26页共68页样片mono crystal 单晶mono lithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor currea nt en dpoi nt 电机电流终点检测(法)MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multile nel metallization 多重金属化Murphy's model 墨菲模型Nnan ometer( nm) 纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negati ne resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受)ne gati ne resist developm ent 负性光刻胶显影neutral beam trap 中性束陷阱ne xt-g ene rati on lithography 下一代光刻技术n itric acid(HNO3) 硝酸nitroge n(N2) 氮气nitrogen trifluoride(NF3) 三氟化氮半导体术语表第27页共68页nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管non critical layer 非关键层non volatile memory 非挥发性存储器n ormality 归一化notch 定位槽novolak 苯酚甲醛聚树脂材料npn npn型(三极管) n-type silicon n 型硅nu clear stopp ing 离子终止nucleati on 成核现象,晶核形成nu clei coalesce nce 核合并numerical aperture(NA) 数值孔径n-well n 阱Oobjective (显微镜的)物镜off-axis illumi natio n( OAI) 偏轴式曝光,离轴式曝光ohmic con tact 欧姆接触op amp 运算放大器optical in terferometry en dpoi nt 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correctio n(O PC) 光学临近修正半导体术语表第28页共68页optical pyrometer 光学高温计optics 光学organic compo und 有机化合物半导体术语表第29页共68页out-diffusion 反扩散outgassi ng 除气作用overdrive 过压力overetch step 过刻蚀overflow rin ser 溢流清洗overlay accuracy 套准精度overlay budget 套准偏差overlay registrati on 套刻对准oxidati on 氧化oxidati on-in duced stack ing faults(OISF) 缺陷,氧化诱生堆垛层错oxide 氧化物、氧化层、氧化膜oxidezer 氧化剂oxide-trapped charge 氧化层陷阱电荷ozo ne(O3) 臭氧Ppackage 封装管壳pad con diti oning 垫修整pad oxide 垫氧化膜paddle 悬臂n.短桨,划桨,明轮翼水,涉水vt.用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(pla nar)reactor 平板反应parallel testi ng 并行测试parameter 参数parametric test 参数测试parasitic 寄生parasitic capacita nee 寄生电容parasitic resista nce 寄生电阻parasitic tran sistor 寄生电阻器partial pressure 分压particle den sity 颗粒密度氧化诱生层积vi.划桨,戏半导体术语表第30页共68页particle per wafer per pass(PWP)每步每片上的颗粒数passivati on 钝化passivati on layer 令屯化层passive comp onents 无源元件pattern sen sitivity 图形灵敏性patterned etchi ng 图形刻蚀pattern wafer 带图形硅片patterni ng 图形转移,图形成型,刻印pc board 印刷电路版p-channel MOSFET p 沟道MOSFETPCM 工艺控制监测PEB 曝光后烘焙PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜pen tava lent 五价元素perform vt. 履行,执行,表演,演出v. performing完成任务adj. 表演的,履行的perimete array 周边阵列式(圭寸装) pH scale pH 值phase-shift mask(PSM) 相移掩膜技术phosphi ne(PH3)磷化氢phosphoric acid(H3PO4) 磷酸phosphorus(P) 磷半导体术语表第31页共68页phosphorus oxychloride(POCL3) 三氯氧磷phosphosilicate glass(PSG) 磷硅玻璃photoacid gen erator(PAG) 光酸产生剂photoacoustics 光声的photoactive compou nd(PAC) 感光化合物photography n.摄影,摄影术光刻photolithography 光刻(技术)photomask 光掩膜photoresist 光刻胶photoresist stripp ing 去胶、光刻胶去除physical etch mecha nism 物理刻蚀机理physical vapor depositio n(PVD) 物理气相淀积pigtail 引出头pin grid array(PGA) 针栅阵列式(封装) pin hole 针孑L pira nha 3 号液pitch 间距pla nar 平面pla nar capacitor平面电容pla nar process 平面工艺pla narizati on 平坦化plasma 等离子体n.[解]血浆,乳浆,[物]等离子体,等离子区plasma-based dry clea ning 等离子体干法清洗plasma electro n flood 等离子电子流plasma enhancedCVD(PECVD) 等离子体增强CVD半导体术语表第32页共68页plasma-in duced damage 等离子体诱导损伤plasma pot en tial distributi on 等离子体势分布plastic dual in-li ne package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packag ing 塑料圭寸装plug 塞,填充vt. 埼塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisso n's model 泊松模型polarizati on 极化,偏振polarized light 极化光,偏振光polish 拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishi ng loop 磨拋循环polishi ng pad 拋光(衬)垫polycide 多晶硅化物polycrystal 多晶半导体术语表第33页共68页polysilic on 多晶硅polysilic on gate 多晶硅栅portion n. —部分,一分positive lithography 正性光刻positive resist 正性光刻胶positive resist developme nt 正性光刻胶显影post-develop in specti on 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphizati on 预非晶化precursor 先驱物predepositi on 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orie ntati on flat 主定位边print bias光刻涨缩量prin ted circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober 探针台process 工艺process chamber 工艺腔,工艺反应室process chemical 工艺化学process control mon itor(PCM) 工艺控制监测(图形) process latitude 工艺水平,工艺能力process recipe 工艺菜单programmable array logic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件半导体术语表第34页共68页programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt.提示,鼓动,促使,(给演员)提白adj.敏捷的,迅速的,即时的adv. 准时地n. DOS 命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportio nal ba nd 比例区,比例带,比例尺范围proximity alig ner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率pun chthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyroge nic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass an alyzer(QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管半导体术语表第35页共68页quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发ran dom access memory(RAM) 随机存储器range射程rapid thremal ann eal(RTA) 快速热退火rapid thermal processor(RTP) 快速热处理RCA clea n RCA 清洗reactio n rate limited 反应速率限制reactive ion etch(RIE) 反应离子刻蚀reactivity 反应性reactor 反应室,反应腔read-o nlymemory(ROM) 只读存储器recomb in ati on 复合redistribut ion 再分布reflection spectroscopy 反射光谱仪reflective no tchi ng 反射开槽reflow 回流refraction 折射refractory metal 难融金属regeneration 再生regene rati on 套准精度relative in dex of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt.重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA) 残余气体分析器resist光刻胶半导体术语表第36页共68页resist developme nt 光刻胶显影resista nee 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO) 反向渗透RF射频RF sputteri ng 射频溅射rinse v.嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughi ng pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scali ng按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪sea nning electro n microscope(SEM) 扫描电子显微镜sca nning projecti on alig ner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层半导体术语表第37页共68页scribe line 划片道scribe line mon itor(SLM) 划片线监测scumming 底膜sec ondary electro n 二次电子半导体术语表第38页共68页sec on dary electro n flood 二次电子流sec on dary ion mass spectrometry(SIMS)二次离子质谱(法)seed ' s model SEED模型selective etching 选择性刻蚀selective oxidati on 选择性氧化selectivity 选择性semic on ductor grade silic on 半导体极硅semic on ductor 半导体sensitivity 灵敏度shallow trench isolatio n(STI) 浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity, 方块电阻率shot size胶(点)尺寸shri nking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon n itride(SI3N4)氮化硅silic on on sapphire 蓝宝石伤硅silicon on in sulator(SOI) 绝缘体上硅silicon tetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅sin gle crystal silic on 单晶硅silylation 硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料si ngle crystal 单晶slip滑移slurry 磨料半导体术语表第39页共68页SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solve nt 溶剂SOS蓝宝石上硅Source 源source drain impla nts 源漏注入spacer n.取间隔的装置,逆电流器spatial cohere nee 空间相干spatial sig nature an alysis 空间信号分析specialty gase 特种气体species 种类specific gravity 上匕重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪sp in coati ng 光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric ( SOD)旋转介质法spin-on-glass ( SOG)旋转玻璃法spray clea ning 喷雾清洗spray rin ser 喷雾清洗槽spreadi ng resista nce probe 扩散电阻探测sputter n.喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputteri ng 溅射sputter etch 溅射刻蚀sputtered alum inum 溅射铝半导体术语表第40页共68页sputteri ng yield 溅射产额SSI小规模集成电路stacki ng fault层积缺陷,堆垛层错sta ndard clea n 1(SC-1) 1 号清洗液sta ndard clea n 2(SC-2) 2 号清洗液sta ndard mecha nical in terface(SMIF) 机械标准接口standing wave 驻波static RAM 静态存储器statistical process control ( SPC)统计过程控制step coverage 台阶覆盖step height台阶高度step-a nd-repeat alig ner 分步重复光刻机step-and-scan system 步进扫描光刻机stepper步进光刻机steppi ng motor driver 步进电机驱动器电路stepper步进光刻机stoichiometry 化学计量(配比) staggle投射标准偏差stress应力striati on 条纹strip vt.剥,剥去n. 条,带strippi ng 去胶structure 结构subatmospheric CVD 亚大气压化学气相淀积半导体术语表第41页共68页submicro n 亚微米sub-quarter micron 亚0.25 微米substrate 衬底sublimati on 升华substituti onal atom 替位原子subtract v.(〜from)减去, 减subwaverle ngth lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompressi on bonding 热压键合thermocouple 热电偶thermogravimetric an alysis (TGA) 热重量分析thermoso nic bon di ng 热超声键合thin film 薄膜半导体术语表第42页共68页thin small outli ne package(TSOP) 薄小型圭寸装III-V compou nd 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS仃OF-SIMS) 飞行时间二次离子质谱tita nium silicide 钛硅化合物TLV极限域值top surface imag ing 上表面图形topography 形貌torr 托toxic 有毒track system(also track) 轨道系统tran sie nt enhan ced diffusio n仃ED) 瞬时增强扩散tran sistor 晶体管trench 槽trench capacitor 槽电容trichlorosila ne(TCS or SiHCL3) 三氯氢硅triode pla nar reactor三真空管平面反应室triple well 三阱trivale nt 三价tun gste n(W)钨tun gste n stch back 钨反刻tun gsten hexafluoride(WF6) 六氟化钨tun gste n plug 钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin pla nes(tw inning) 双平面半导体术语表第43页共68页twin-well(twi n-tub) 双阱UULSI甚大规模集成电路ultralow pen etration air(ULPA) 超低穿透空气ultrafiltration 超过滤ultrafi ne particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow jun ctio n 超声键合(压焊)ultraviolet 紫外线undercut 钻蚀un iformity 均匀性unit cell 元包,晶胞un patter ned etchi ng(spripp ing) 无图形刻蚀(剥离)un patter ned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand 真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE) 气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜半导体术语表第44页共68页vaporizati on 气化variable n.[数]变数,可变物,变量adj. 可变的, 不定的,易变的,[数]变量的variable angle spectriscipic ellipsometry(VASE) 可变角度椭偏仪variation n.变更,变化,变异,变种,[音]变奏,变调various adj.不同的,各种各样的,多方面的,多样的vertical furn ace 立式炉via通孑Lviscous flow 粘滞流VLSI超大规模集成电路volatile memory 挥发性存储器volatile 挥发voltage regulator 温压器Wwafer cassette 硅片架wafer charg ing 硅片充电wafer electrical test(WET) 硅片电学测试wafer etch硅片刻蚀wafer flat or notch 硅片定位边或定位凹槽半导体术语表第45页共68页wireb on di ng 引线键合wiri ng 连线withi n-wafer nonun iformity(WIWNU) 片内不均匀性XX-ray X射线X-ray fluoresce nce(XRF) X 射线荧光性半导体术语表第46页共68页X-ray lithography X 射线光刻X-ray photoelectron spectroscopy ( XPS) X 射线光电能谱仪YYield 成品率Yield man agem ent system 成品率管理系统ZZeta potential zeta 电势zone n.地域,地带,地区,环带,圈vt.环绕,使分成地带vi.分成区半导体术语表第47页共68页10. Ammonium fluoride : NH4F11. Ammonium hydroxide : NH4OH12. Amorphous silicon : a -Si,非晶硅(不是多晶硅)13. An alog :模拟的14. Angstrom : A (1E-10m)埃15. An isotropic :各向异性(如POLY ETCH16. AQL(Accepta nce Quality Level) :接受质量标准,在一定采样下,可以95%置信度通过质量标准 (不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(A ntireflective coat in g) :抗反射层(用于METAL等层的光刻)18. An tim on y(Sb)锑19. Argon (Ar)氩20. Arse nic(As) 砷21. Arsen ic trioxide(As2O3) 三氧化二砷22. Arsi ne(AsH3)23. Asher :去胶机24. Aspect ration :形貌比(ETCH中的深度、宽度比)25. Autodopi ng :自搀杂(外延时SUB的浓度高,导致有杂质半导体术语表第48页共68页蒸发到环境中后,又回掺到外延层)26. Back end :后段(CONTACT S后、PCM测试前)27. Baseli ne :标准流程28. Benchmark :基准29. Bipolar :双极30. Boat :扩散用(石英)舟31. CD : ( Critical Dimension )临界(关键)尺寸。
新概念DFN封装可实现升压、SEPIC 或负输出拓扑
LT8330 单片式DC/DC 转换器采用扁平6引线ThinSOT或8引线(3mm x 2mm) DFN 封装,可用来实现升压、SEPIC或负输出拓扑。
该器件提供3V至40V输入范围、内部1A/60V开关和6µA静态电流,符合了小型、高效率电源解决方案的需要。
LT8330很容易满足多种工业和汽车应用的需求。
节省空间的单片式转换器新系列
LT®8330是一个新的单片升压/SEPIC/负输出转换器系列之首款器件,该系列转换器采用了新的设计方法和新的工艺技术,以实现低输出纹波突发模式(Burst Mode®) 运行、坚固的电源开关和快速切换,而且其AC 功耗很低。
电源开关具很短的最短接通和关断时间,在2MHz 高开关频率时允许很宽的占空比范围,从而减小了所需磁性组件及电容器的尺寸,降低了成本。
易于使用
通过内部补偿,简化了转换器的总体设计,并最大限度减少了所需器件的数量。
用输出和FBX 引脚之间的电阻分压器,可以非常容易地设定正或负输出电压。
集成的频率折返和软启动功能允许在启动时使输出电容器向着其最终值方向逐渐充电,同时限制电感器峰值电流。
运用准确的EN/UVLO引脚门限,可以针对输入电源设定欠压闭锁。
采用ThinSOT封装的单片式升压/负输出/SEPIC转换器概述
引脚兼容性
对于需要较高输入电压或较高开关电压的应用而言,LT8330与。
70种半导体封装形式半导体封装是将芯片封装在保护性外壳中,以便在电路板上使用。
根据封装形式的不同,可以分为多种类型。
以下是大约70种常见的半导体封装形式:1. Dual in-line package (DIP)。
2. Quad Flat Package (QFP)。
3. Thin Quad Flat Package (TQFP)。
4. Small Outline Integrated Circuit (SOIC)。
5. Shrink Small Outline Package (SSOP)。
6. Plastic Leaded Chip Carrier (PLCC)。
7. Ball Grid Array (BGA)。
8. Land Grid Array (LGA)。
9. Quad Flat No-Lead (QFN)。
10. Dual Flat No-Lead (DFN)。
11. Chip scale package (CSP)。
12. Dual in-line package (DIP)。
13. Zigzag in-line package (ZIP)。
14. Pin Grid Array (PGA)。
15. Ceramic Dual in-line package (CDIP)。
16. Ceramic Leadless Chip Carrier (CLCC)。
17. Ceramic Quad Flat Package (CQFP)。
18. Dual Flat Package (DFP)。
19. Flat Package (FP)。
20. Grid Array Package (GAP)。
21. Pin Grid Array (PGA)。
22. Single in-line package (SIP)。
23. Single in-line pin package (SIPP)。
24. Single in-line memory module (SIMM)。
IC封装术语(中英文对照)1、SOW (Small Outline Package(Wide-Jype))宽体SOP。
部分半导体厂家采用的名称。
2、SOF(small Out-Line package)小外形封装。
表面贴装型封装之一,引脚从封装两侧引出呈海鸥翼状(L 字形)。
材料有塑料和陶瓷两种。
另外也叫SOL 和DFP。
SOP 除了用于存储器LSI 外,也广泛用于规模不太大的ASSP 等电路。
在输入输出端子不超过10~40 的领域,SOP 是普及最广的表面贴装封装。
引脚中心距1.27mm,引脚数从8~44。
另外,引脚中心距小于1.27mm 的SOP 也称为SSOP;装配高度不到1.27mm 的SOP 也称为TSOP(见SSOP、TSOP)。
还有一种带有散热片的SOP。
3、SONF(Small Out-Line Non-Fin)无散热片的SOP。
与通常的SOP 相同。
为了在功率IC 封装中表示无散热片的区别,有意增添了NF(non-fin)标记。
部分半导体厂家采用的名称(见SOP)。
4、SQL(Small Out-Line L-leaded package)按照JEDEC(美国联合电子设备工程委员会)标准对SOP 所采用的名称(见SOP)。
5、SOJ(Small Out-Line J-Leaded Package)J 形引脚小外型封装。
表面贴装型封装之一。
引脚从封装两侧引出向下呈J 字形,故此得名。
通常为塑料制品,多数用于DRAM 和SRAM 等存储器LSI 电路,但绝大部分是DRAM。
用SOJ封装的DRAM 器件很多都装配在SIMM 上。
引脚中心距1.27mm,引脚数从20 至40(见SIMM)。
6、SOIC(small out-line integrated circuit)SOP 的别称(见SOP)。
国外有许多半导体厂家采用此名称。
7、SOI(small out-line I-leaded package)I 形引脚小外型封装。
半導體與封裝專業英語常用術語徐祥禎義守大學機械與自動化工程學系【A】Å or Angstrom/埃:m,用來定義長度的一個單位。
其他常用單位與符號縮寫Active component/主動元件:可藉由輸入訊號來使系統作動的電子元件,如電晶體與二極體。
ATC(accelerated thermal cycling)/加速熱循環Alloy/合金:兩種或者兩種以上的元素混合,其中至少有一種為金屬元素。
Ambient/周圍環境:環繞於系統、配件或元件周圍之接觸環境。
Ambient Temperature/環繞溫度:測試接觸之環境氣體其平均溫度。
Analog circuits/類比電路:具有連續輸入與輸出間關係之電路。
ANSI(American National Standards Institute)/美國國家標準協會ASIC(application specific integrated circuit)/特殊用途積體電路AST(accelerated stress testing)/加速應力試驗【B】Batch Manufacturing/批量製造:以群組、大量的方式製造,完成的所有元件皆具有一致性。
Batch Processing/整批處理:當使用特定機具進行連續生產仍無法達到所需要的產品數量時,所使用的一種生產方法。
BEOL(back-end of line)/後段製程線路BGA(ball grid array)/球柵式陣列構裝:一種利用陣列式錫球做為電訊接點,使晶片裝置於基板上之表面構裝技術。
Burn in/預燒:一種加速元件老化之方式,通常是提高溫度、電壓,利用此試驗可使元件特性較穩定,並發現早期破壞之元件。
【C】C4(controlled collapse chip connection)/控制塌陷高度晶片連接:一種由液體焊料之表面張力控制接點連接高度,並支持晶片重量的覆晶式連接方法。
常见封装形式简介DIP = Dual Inline Package = 双列直插封装HDIP = Dual Inline Package with Heat Sink = 带散热片的双列直插封装SDIP = Shrink Dual Inline Package = 紧缩型双列直插封装SIP = Single Inline Package = 单列直插封装HSIP = Single Inline Package with Heat Sink = 带散热片的单列直插封装SOP = Small Outline Package = 小外形封装HSOP = Small Outline Package with Heat Sink = 带散热片的小外形封装eSOP = Small Outline Package with exposed thermal pad = 载体外露于塑封体的小外形封装SSOP = Shrink Small Outline Package = 紧缩型小外形封装TSSOP = Thin Shrink Small Outline Package = 薄体紧缩型小外形封装TQPF = Thin Profile Quad Flat Package = 薄型四边引脚扁平封装PQFP = Plastic Quad Flat Package = 方形扁平封装LQPF = Low Profile Quad Package = 薄型方形扁平封装eLQPF = Low Profile Quad Flat Package with exposed thermal pad = 载体外露于塑封体的薄型方形扁平封装DFN = Dual Flat Non-leaded Package = 双面无引脚扁平封装QFN = Quad Flat Non-leaded Package = 双面无引脚扁平封装TO = Transistor package = 晶体管封装SOT = Small Outline of Transistor = 小外形晶体管BGA = Ball Grid Array = 球栅阵列封装BQFP = Quad Flat Package With Bumper = 带缓冲垫的四边引脚扁平封装CAD = Computer Aided Design = 计算机辅助设计CBGA = Ceramic Ball Grid Array = 陶瓷焊球阵列CCGA = Ceramic Column Grid Array = 陶瓷焊柱阵列CSP = Chip Size Package = 芯片尺寸封装DFP = Dual Flat Package = 双侧引脚扁平封装DSO = Dual Small Outline = 双侧引脚小外形封装3D = Three-Dimensional = 三维2D = Two-Dimensional = 二维FCB = Flip Chip Bonding = 倒装焊IC = Integrated Circuit = 集成电路I/O = Input/Output = 输入/输出LSI = Large Scale Integrated Circuit = 大规模集成电路MBGA = Metal BGA = 金属基板BGAMCM = Multichip Module = 多芯片组件MCP = Multichip Package =多芯片封装MEMS = Microelectro Mechanical System = 微电子机械系统MFP = Mini Flat Package = 微型扁平封装MSI = Medium Scale Integration = 中规模集成电路OLB = Outer Lead Bonding = 外引脚焊接PBGA = Plastic BGA = 塑封BGAPC = Personal Computer = 个人计算机PGA = Pin Grid Array = 针栅阵列SIP = System In a Package = 系统级封装SOIC = Small Outline Integrated Circuit = 小外形封装集成电路SOJ = Small Outline J-Lead Package = 小外形J形引脚封装SOP = Small Outline Package = 小外形封装SOP = System On a Package = 系统级封装WB = Wire Bonding = 引线健合WLP = Wafer Level Package = 晶圆片级封装常用文件、表单、报表中英文名称清除通知单Purge notice工程变更申请 ECR(Engineering Change Request) 持续改善计划CIP(continuous improvement plan)戴尔专案 Dell Projec t收据Receipt数据表Data sheet核对表Check list文件清单Documentation checklist设备清单 Equipment checklist调查表,问卷Questionnaire报名表Entry form追踪记录表 Tracking log日报表Daily report周报表Weekly report月报表Monthly report年报表 Yearly report年度报表 Annual report财务报表 Financial report品质报表 Quality report生产报表Production report不良分析报表FAR(Failure analysis report)首件检查报告 First article inspection report 初步报告(或预备报告)Preliminary report一份更新报告 An undated report一份总结报告 A final report纠正与改善措施报告(异常报告单) CAR (Corrective Action Report) 出货检验报告Outgoing Inspection Report符合性报告(材质一致性证明) COC(Certificate of Compliance) 稽核报告 Audit report品质稽核报告 Quality audit report制程稽核报告Process audit report5S 稽核报告 5S audit report客户稽核报告Customer audit report供应商稽核报告Supplier audit report年度稽核报告 Annual audit report内部稽核报告 Internal audit report外部稽核报告External audit reportSPC 报表(统计制程管制) Statistical process control工序能力指数(Cpk) Process capability index(规格)上限Upper limit(规格)下限 Lower limit规格上限Upper Specification Limit(USL)规格下限 Lower Specification Limit(LSL)上控制限(或管制上限) Upper Control Limit(UCL)下控制限(或管制下限)Lower Control Limit(LCL)最大值 Maximum value平均值Average value最小值Minimum value临界值 Threshold value / critical value MRB 单(生产异常通知报告) Material Review Board Report工艺流程图Process Flow Diagram物料清单(产品结构表/用料结构表) BOM (Bill of Materials )合格供应商名录AVL (Approved Vendor List)异常报告单 CAR工程规范报告通知单(工程变更通知)ECNTECN自主点检表Self Check List随件单(流程卡) Traveling Card (Run Card)压焊图Bonding diagram晶圆管制卡 Wafer inspection card晶圆进料品质异常反馈单Feedback Report for Wafer Incoming Quality Problems订购单PO(Purchase Order)出货通知单Advanced Ship Notice送货单/交货单DO(Delivery Order)询价单RFQ(Request for quotation)可靠性实验报告Reliability Monitor Report产品报废单PSB特采控制表CRB返工单PRB异常处理行动措施OCAP减薄:Wafer [‘weifə] n .威化饼干、电子晶片(晶圆薄片)Grind [ɡraind ]vt. & vi. 磨碎;嚼碎n .磨,碾Crack [kræk]vt. & vi. (使…)开裂, 破裂n. 裂缝, 缝隙Ink [iŋk] n. 墨水, 油墨Die [dai] vt. & vi. 死亡(芯片)Dot [dɔt] n . 点, 小圆点Mounting [‘mauntiŋ] n. 装备,衬托纸Tape [teip] n. 带子;录音磁带; 录像带Size [saiz] n. 大小, 尺寸,尺码Thick [θik]adj. 厚的,厚重的Thickness [‘θiknis]n. 厚(度), 深(度)宽 (度)Position [pə‘ziʃən]n. 方位,位置Rough [rʌf] adj . 粗糙的; 不平的Fine [fain]adj. 美好的, 优秀的, 优良的, 杰出的Speed [spi:d]n. 速度, 速率Spark[spɑ:k]n. 火花; 火星Out [aut]adv. 离开某地, 不在里面;(火或灯)熄灭Grindstone [‘ɡraindstəun]n. 磨石、砂轮Mount[maunt]vt. & vi. 装上、配有Mounter装配工;安装工;镶嵌工Mounting [‘mauntiŋ]n. 装备,衬托纸Magazine [,mæɡə‘zi:n] n. 杂志, 期刊,弹药库(传递料盒)Cassette [kə‘set] n. 盒式录音带;盒式录像带Inspect [in‘spekt] vt. 检查,检验,视察Inspection [in‘spekʃən] n. 检查,视察Card [kɑ:d]n. 卡, 卡片, 名片划片:Saw [sɔ:] n. 锯vt. & vi. 锯,往复运动Sawing ['sɔ:iŋ]n. 锯,锯切,锯开Film [film] n. 影片, 电影(薄膜,蓝膜)Frame [freim] n. 框架,骨架,构架Clean [kli:n]adj. 清洁的, 干净的;纯净的Cleaner [‘kli:nə]n. 作清洁工作的人或物Oven [‘ʌvən] n . 烤箱, 炉Cassette [kə‘set]n. 盒式录音带;盒式录像带Handler[‘hændlə]n. (物品、商品)的操作者Scribe [skraib] n . 抄写员, 抄书吏Street n. 大街, 街道Blade [bleid] n. 刀口, 刀刃,刀片Cut [kʌt] vt. & vi. 切, 剪, 割, 削Speed[spi:d]n. 速度, 速率Spindle [‘spindl]n. 主轴, (机器的)轴Size [saiz]n. 大小, 尺寸,尺码Cooling ['ku:liŋ]adj. 冷却(的)Kerf [kə:f]n. 锯痕,截口,切口Width [widθ] n . 宽度, 阔度, 广度Chip [tʃip] n. 碎片、缺口Chippi ng[‘tʃipiŋ]n. 碎屑,破片Crack[kræk]vt . (使…)开裂,破裂n . 裂缝, 缝隙Missing [‘misiŋ] adj. 失掉的,失踪的,找不到的Die [dai]vt. & vi. 死亡(芯片)Saw [sɔ:] n. 锯vt. & vi. 锯,往复运动Street [stri:t]n. 大街, 街道Film [film]n. 影片, 电影(薄膜,蓝膜)Frame [freim] n. 框架,骨架,构架Tape [teip]n. 带子;录音磁带; 录像带Bubble ['bʌbl] n. 泡, 水泡, 气泡mount---贴wafer---晶圆frame---框架blade---刀片tape---膜cassette---盒子completion---完成loader---上料un-loader---出料initial---初始化open---打开air---空气pressure---压力failure---失败vacuum---真空alignment---校准ink---黑点die---芯片error---错误limit---限制cover---盖子device---产品data---数据saw---切割water---水elevator---升降机spindle---主轴sensor---感应器wheel---轮子setup---测高rotary---旋转check---检查feed---进给cutter---切割speed---速度height---高度new---新shift---轮班pause---暂停clean---清洗center---中心chip---崩边change---变换enter---确认Off center---偏离中心 broken---破的 alarm---报警上芯:Attach [ə‘tætʃ]vt. & vi. 贴上; 系; 附上Bond [bɔnd]n. 连接, 接合, 结合vt. 使粘结, 使结合Bonder [‘bɔndə]n. 联接器,接合器,粘合器Die attach material epoxy粘片胶Epoxy [e‘pɔksi] n. 环氧树脂(导电胶)Material [mə‘tiəriəl]n. 材料, 原料Non-conductive epoxy绝缘胶Conductive [kən‘dʌktiv] adj. 传导的Dispenser [dis‘pensə]n. 配药师, 药剂师Nozzle [‘nɔzl]n. 管嘴, 喷嘴Rubber [‘rʌbə]n. (合成)橡胶,橡皮Tip [tip] n. 尖端, 末端Die pick-up tool 吸嘴Tool [tu:l]n. 工具, 用具Collect [kə‘lekt]vt. 收集, 采集(吸嘴)Ejector [i‘dʒektə]n. 驱逐者,放出器,排出器Pin [pin]n. 针,大头针, 别针Lead Frame引线框架Lead [li:d]vt. & vi. 带路, 领路, 指引Frame [freim]n. 框架,骨架,构架Magazine [,mæɡə‘zi:n]n. 杂志, 期刊(料盒)Curing [‘kjuəriŋ] n. 塑化, 固化, 硫化, 硬化Oven [‘ʌvən]n. 烤箱, 炉Scrap [skræp]n. 小片, 碎片, 碎屑Dent [dent] n. 凹痕, 凹坑Die Lift-off 晶粒脱落(芯片脱落,掉芯)Skew [skju:] adj. 歪, 偏, 斜Misorientation [mis,ɔ:rien‘teiʃən] n. 定向误差,取向误差Pre squeeze del写胶前气压延时Post squeeze del 写胶后气压延时Squeeze [skwi:z] vt. 榨取, 挤出n. 挤, 榨, 捏Eject [i‘dʒekt]vt. & vi . 弹出, 喷出, 排出Delay [di'lei]n. 延迟Height [hait] n. 高度, 身高Level [‘levl]n. 水平线, 水平面; 水平高度Head [hed]n. 头部,领导, 首脑Eject up delay 顶针延迟Eject up heigh t 顶针高度Bond level粘片高度Pick Level 捡拾芯片高度Head pick delay 粘接头拾取延迟Head bond delay 粘接头粘接延时Pick delay捡拾芯片延时Bond delay 粘接芯片延时Index [‘indeks]n. 索引;标志, 象征; 量度Clamp [klæmp]vt. & vi. 夹紧; 夹住n. 夹具Index clamp delay 步进夹转换延时Index delay 框架步进延时Shear [ʃiə] vt. 剪羊毛, 剪n. 大剪刀Test [test] n. 测验,化验,试验, 检验Die shear test 推晶试验Thickness ['θiknis] n. 厚(度), 粗Coverage [‘kʌvəridʒ] n. 覆盖范围Epoxy thickness & coverage 导电胶厚度和覆盖率Orientation[,ɔ:rien‘teiʃən] n. 方向, 目标Die Orientation 芯片方向Void [vɔid] adj. 空的, 空虚的n. 太空, 宇宙空间;空隙, 空处; 空虚感, 失落感Epoxy void 导电胶空洞Chip [tʃip] n. 碎片Damage[‘dæmidʒ] vt. & vi. 损害, 毁坏, 加害于n. 损失, 损害, 损毁Chip damage芯片损伤Backside [‘bæksaid]n. 臀部, 屁股,背面Chip backside damage 芯片背面损伤Tilt [tilt] vt. & vi. (使)倾斜Tilted die 芯片歪斜Epoxy on die 芯片粘胶Crack [kræk]vt. & vi. (使…)开裂, 破裂n. 裂缝, 缝隙Crack die 芯片裂缝/芯片裂痕Lift [lift]vt. & vi. 举起, 抬起n. 抬, 举Lifted die翘芯片Misplace [,mis‘pleis]vt. 把…放错位置Misplaced die 设置芯片NO die on L/F 空粘Insufficient [,ɪnsə‘fiʃənt] adj. 不足的, 不够的Insufficient epoxy 导电胶不足Epoxy crack 导电胶多胶Epoxy curing银浆烘烤Edge [edʒ] n. 边, 棱, 边缘Partial [‘pɑ:ʃəl] adj. 部分的, 不完全的Mirror [‘mirə] n. 镜子Missing [‘misiŋ] adj. 失掉的,失踪的,找不到的Edge die / partial die边缘片 / 边沿芯片Mirror die光片 / 镜子芯片Missing die 掉芯 / 漏芯 / 掉片Splash [splæʃ]vt. 使(液体)溅起vi.(液体)溅落Splatter [‘splætə] vt. & vi. (使某物)溅泼Diagram [‘daiəɡræm]n. 图解, 简图, 图表Ink splash / ink splatter墨溅Die bonding diagram 上芯图Die shesr test 推片实验/推晶试验Die shear tester 推片试验机Die shesr tool 推片头Metal corrosion晶粒腐蚀/芯片腐蚀Wafer mapping system 芯片分级系统System ['sistəm] n. 系统; 体系wafer---晶圆die---芯片attach---粘贴glue---银胶substrate---基板magazine---盒子inspection---检查parameter---参数manual---操作手册reset---重设enter---确定error---错误input---输入speed---速度stop---停止pressure---压力vacuum---真空sensor---传感器back side---背面pin---针statistics---统计calibration---校正bond---贴片conversion---改机thickness---厚度tilt---倾斜度shape---形状adjust---调整contact---接触cover---覆盖device---产品chip---崩边pause---暂停elevator---升降机 initial---初始化alignment---校准cassette---盒子tape---膜 frame---框架ring---铁圈temperature---温度rubber tip---吸嘴frame type---框架型号nozzle---点胶头 writer---划胶头压焊:Wire [‘waiə] n. 金属丝, 金属线;电线, 导线Bond [bɔnd] n. 接合, 结合vt. 使粘结, 使结合Wire bond / Wiring bonding 压焊/焊丝/球焊Gold wire金丝Pad [pæd]vt. 给…装衬垫, 加垫子n.垫,护垫Bond pad 焊点、铝垫1st bond第一焊点Pad size焊点尺寸 / 铝垫尺寸Capillary [kə‘piləri] n.毛细管;毛细血管(劈刀)Pitch [pitʃ] 程度; 强度; 高度Pad pitch铝垫间距 / 焊点间距Elongation [i:lɔŋ‘ɡeiʃən] n.延长;延长线;延伸率Breaking [‘breikiŋ] n. 破坏,阻断Load [ləud] n. 负荷; 负担;工作量, 负荷量Breaking Load 破断力Pull [pul]vt. & vi.拉, 扯, 拔Shear [ʃiə] vt. 剪羊毛, 剪n. 大剪刀Wire pull / ball pull(焊丝)拉力Wire shear / ball shear(焊丝)推力Ultrasonic [,ʌltrə‘sɔnik] adj. (声波)超声的Power [‘pauə]n. 功力, 动力, 功率Force [fɔ:s]n. 力; 力量; 力气Ultrasonic power超声功率Bonding force压力Bonding time时间Temperature [‘tempəritʃə] n. 温度, 气温Bonding temperature 温度Ultrasonic wire bonding 超声波压焊EFO打火烧球loop [lu:p]n. 圈, 环, 环状物Loop height 孤高Wire pull test 拉力试验Ball shear test 金球推力试验PIN 1 第一脚Ball height 球高Ball diameter球径Cratering [‘kreitəriŋ] n. 缩孔;陷穴(弹坑)KOH etching test KOH腐蚀试验Bond Cratering test 压焊腐蚀试验(弹坑试验)Thermal [‘θə:məl] adj. 热的,热量的Compression [kəm‘preʃən] n. 挤压, 压缩TCB( Thermal Compression Bond)热压焊Bonding Diagram 压焊图 / 布线图Wrong Bonding 布线错误Incomplete[,ɪnkəm‘pli:t]adj.不完全的,未完成的Incomplete bond 焊不牢No bonding 无焊N2 BOX 氮气柜RTPC 实时过程监控Tray [trei] n. 盘子, 托盘Handing Tray 产品盘FBI 压焊后目检FBI insp-M/C 压焊检验机Microscope [‘maikrəskəup] n. 显微镜Low Power Microscope 低倍显微镜Flux [flʌks] n. 熔剂、焊剂;助熔剂,助焊剂Hook [huk] vt. & vi. 钩住, 吊住, 挂住Wire pull hook线钩(测拉力)Ball shear tool 推球头(测推力)Metal [‘metl]n. 金属Discolor [dis‘kʌlə]v.使脱色;(使)变色,(使)褪色Oxide [‘ɔksaid]n. 氧化物Metal Discolor铝条变色Bond Pad Discolor 铝垫变色Bond Pad Oxide铝垫氧化Stick [stik] vt. & vi. 粘贴, 张贴Peeling [‘pi:liŋ] n. 剥皮,剥下的皮Cratering [‘kreitəriŋ]n. 缩孔;陷穴(弹坑)Nonstick bond on pad 铝垫不粘Bond pad peeling 铝垫脱落Bond pad cratering铝垫弹坑Limit [‘limit]vt. 限制; 限定Scratch [skrætʃ] vt. & vi. 抓, 搔,刮伤Over rework limit超过返工数Bond remove / scratch 剔球划伤Ball bond non-stick金球脱落Ball to large (small)金球过大(小)Ball bond short 金球短路Non-stick on lead 引脚脱落(鱼尾脱落)misplace [,mis‘pleis]vt. 把…放错位置connection [kə‘nekʃən]n. 连接, 联结Misplaced bond on LD压焊打偏Wire broken断线Missing wire漏打Wrong connection 错打defective [di‘fektiv]adj. 有缺陷的,欠缺的Defective looping弧度不良Sagging [‘sæɡiŋ]n. 下垂[沉,陷],松垂,垂度Loop sagging 弧度下陷Low loop 弧度太低High loop弧度太高Loop short 弧度短路Overhang [,əuvə‘hæŋ]vt. 伸出; 悬挂于…之上Residue [‘rezidju:]n. 剩余, 余渣Distortion [dis‘tɔ:ʃən] n. 歪曲,曲解Wire overhang on LD 跨越引线框架Wire residue 残丝LF distortion 引线框架变形Quantity [‘kwɔntiti]n. 数目, 数量Mismatch [‘mis’mætʃ] vt. 使配错,使配合不当Scrap [skræp]n. 废料vt. 废弃, 丢弃Scratch [skrætʃ] vt. 刮伤Quantity Mismatch 数量不符Empty M. not scrap空粘未报废Gold Wire Scratch 金丝受损Parameter---参数Statistics---统计Utility---应用Teach---教习Bond tip offset—焊线点纠偏 Contact search---接触测高Zoom off center---放大倍数偏心校准Calibration---校准BQM---焊接质量控制PR—patterrecognition—图像识别Alignment tolerance—对点偏差PR indexing—图像控制下的步进Capillary---焊线劈刀 Wire spool—送线卷轴Window clamp—窗口夹板Transducer—功率换能器FTN---功能键Wire threading—送线器EFO ---电子打火Linear power ---线性马达Vacuum sensor---真空感应器 Step driver—步进驱动Post bond inspection—焊接后检查 Wire pull—拉线Ball shape—推球 Ball size—焊球大小Ball thickness—焊球高度 Loop height—线弧高度Loop shape—线弧形状Neck crack—线颈折损 Fine adjust–精确调整Conversion–换产品 1st bond non stick—第一点不粘2nd bond non stick—第二点不粘 peeling---拔铝垫(扯皮)Bond off---脱焊Ball deformation—焊球变形 servo motor—伺服电机 weld off---管脚脱焊 crater---裂缝 gold wire---金线missing ball---球未烧好 weak bond---虚焊塑封:Mold [məuld] n. 模子,铸型vt. 浇铸,塑造Molding [‘məuldiŋ] n. 成型(塑封)Compound [‘kɔmpaund] n.复合物, 化合物Moiding M/C;Mold Press塑封机Press [pres] n. 印刷机Heater [‘hi:tə] n. 加热器; 炉子Pre-heater 预热机Chase [tʃeis]n.追捕, 追猎Mold die / Mold chase 塑封模具MGP mold MGP多缸模具Auto mold 自动包封机load [ləud]vt. & vi. 1 把…装上车[船] 2 装…loader ['ləudə] n. 装货的人,装货设备,装弹机Auto L / F loader自动排片机handler [‘hændlə] n. (动物)驯化者(抓手)temperature [‘tempəritʃə]n. 温度, 气温Pre-heat Temperature 料饼预热温度Mold Temperature 模具温度Clamp [klæmp] vt. & vi. 夹紧; 夹住n. 夹具Pressure [‘preʃə] n. 压(力), 压强Clamp Pressure 合模压强Transfer pressure 注塑压强Transfer [træns‘fə:]vt. & vi. 转移; 迁移n. 转移Curing [‘kjuəriŋ] n. 塑化, 固化, 硫化, 硬化Curing time 固化时间Curing temperature 固化温度Pre-heat Time (料饼)预热时间Transfer speed注塑速度Transfer time注塑时间PMC time (Post Mold Cure Time)后固化时间Load / unload上料/下料Sweep [swi:p] vt. & vi. 扫, 打扫, 拂去Wire Sweep 冲丝Open 开路Short 短路Fill [fil] vt. & vi. (使)充满, (使)装满, 填满Underfill ['ʌndəfil] n. (孔型)未充满Body underfilled胶体未灌满Incomplete [,ɪnkəm‘pli:t]adj. 不完全的, 未完成的Incomplete mold 未封满Chip [tʃip] n. 碎片,缺口Chip package / body chip-out崩角Porosity [pɔ:‘rɔsiti] n. 多孔性,有孔性Porosity Body 胶体麻点Bubble [‘bʌbl] n.泡, 水泡, 气泡Blister [‘blistə] n. 气泡vt. & vi. (使)起水泡Smear [smiə] vt.弄脏, 弄污n. 污迹, 污斑Surface [‘sə:fis] n. 面, 表面Roough surface 不均匀(表面)Delaminate [di:‘læməneit] v. 将…分层,分成细层Delaminating 分层Void [vɔid]adj. 空的, 空虚的PKG Void 胶体空洞Deep [di:p] adj. 深的Scratch [skrætʃ] vt. 刮伤Body deep scratch胶体刮痕Dimension [di‘menʃən] n.尺寸, 度量Mold PKG dimension 塑封体尺寸BTM width / length 背面宽 / 长Top width / length 正面宽 / 长PKG thick 塑封体厚度Mismatch [‘mis’mætʃ] vt. 使配错,使配合不当Mold mismatch / PKG mismatch 包封偏差(胶体错位) Offset [‘ɔfset] vt. 抵消, 补偿Misalignment [‘misəlainmənt] n. 未对准Mold offset / PKG misalignment偏心PMC(post mold cure)后固化Dummy [‘dʌmi] n. 人体模型Strip [strip] vt.剥去, 剥夺, 夺走Dummy molded strip空封Mold flash 废胶Gate [ɡeit]n.门, 栅栏门Mold gate 注浇口、进浇口Remain [ri‘mein]n. 剩余物; 残余Gate remain 小脚Compound [‘kɔmpaund] n.复合物, 化合物Ag ing [‘eidʒiŋ]n. 老化,成熟的过程Compound Aging 料饼醒料(回温过程)Locator [ləu‘keitə]n. 表示位置之物,土地Block [blɔk] n.大块(木料、石料、金属、冰等) Locator Block 定位块Ejector [i‘dʒektə] n. 驱逐者,放出器Pin [pin]n. 大头针, 别针,针Depth [depθ] n.深, 深度Ejector Pin 顶针E-pin Depth顶针深度Storage [‘stɔ:ridʒ] n.储藏处, 仓库Cold room / compound storage冷藏库/料饼存放库Air [ɛə] n. 空气Gun [ɡʌn] n. 枪, 炮Coating [‘kəutiŋ] n. 涂层, 覆盖层Material [mə‘tiəriəl]n. 材料, 原料,素材, 资料Air Gun气枪Die Coating芯片涂胶Auto die coating M/C 芯片涂胶机Die Coating Material覆晶胶Cart [kɑ:t]n. 手推车ASS’YB Cart 后站推车Tablet [‘tæblit]n. 药片、胶囊Loader [‘ləudə] n. 装货的人,装货设备,装弹机Preheater [‘pri:’hi:tə] n. 预热器Fixture [‘fikstʃə] n. (房屋等的)固定装置Auto Tablet Loader 自动排胶粒机Compoud Preheater 高频预热机Load /Unload Fixture 上料/下料架Tablet Magazine 胶粒盒Compoud Tablets 塑封料饼Molding Cleaning Compoud 洗模饼misorientation [mis,ɔ:rien‘teiʃən]n. 定向误差,取向误差PKG Misorientation胶体压反Mold flash on lead 塑封溢胶Mold crack 胶体裂痕Semiconductor---半导体Molding–模封Onload---上料Offload–出料Belt—皮带Preheater turntable –预热转盘Transfer---传送Safety Door---安全门Pick and place–机械手Motor---马达 Station–模腔Cleaning brush—清洁刷Cylinder---气缸 Sensor---传感器Solenoid---电磁阀Turn over –翻转器 Degate–切料口 Bearing---轴承Picker---爪子 Pusher–推动器 Cull bin –垃圾箱Pin---针Vacuum pump—真空泵 Mornitor–显示器Cable–导线 Profile---温度曲线 Alarm---报警Error---错误 Driver---驱动 Sensor–感应器Inspection---检查Parameter---参数 Manual---手动,手册Reset---复位 Initialing---初始化 Guide–导轨Substrate---基板 Device---产品种类 Lot Traveller---随工单Magazine---盒子 Cylinder –汽缸 Bearing–轴承Stop---停止Emergency Stop---紧急停止 Gripper --夹子Heat–加热器Pipe–管子Temperature---温度Hopper–漏斗Compress air–压缩空气Over flow—反面漏胶Semiconductor---半导体 Molding –模封Operation–操作Flange–法兰盘 Pump–泵Chamber–腔体Vent–气孔Value–值Alarm---报警Error---错误Inspection---检查Parameter---参数Manual---手动,手册Reset---复位Initialing---初始化Incomplete fill模封不全inching---扭曲Overflow---漏胶Misalignment---模封错位 Package mismatch---模封错位Resin Hole / Void ---气孔Foreign materials ---外来物Wire sweep---线弯曲Rough surface---表面粗糙Wrong Orientation---模封方向反Eng. Sample---工程师样品Stain/Dirty on package---表面脏污Resin burr---树脂有毛刺Resin flashes---毛刺Damage frameFRAME--- 损坏Scratch on package---树脂表面划伤Evaluation----评估Crack package---树脂开裂 SPC sample ---SPC样品切筋 Trim-Form1 切筋Trimming Dambar cut2 切筋模 Trim die3 成形模Form die4 分离模singulate5 冲废De-junk6 检测Inspection 外观检测7 再成型机模具Reform Die8 再成型机 Reform system9 料盘 Plastic tray10 连筋 Uncut dambar11 毛刺burr14 溢料Junk15 裂纹Crack16 离层(分层) Delaminating17 管脚反翘 Lead tip bend18 筋未切 Dam-bar uncut19 筋凸出 Dam-bar protrusion20 筋切入Dam-bar cut in打印 Marking1 打印Marking2 印章 Marking layout3 激光打印 Laser marking4 油墨打印 Ink (UV) marking5 正印 Top side mark6 背印 Back side mark7 镜头 Lens8 打印不良\模糊Illegible marking9 漏打 No marking10 断字 Broken character11 缺字 Missing character12 印字倾斜 Slant marking13 印记错误 Wrong marking14 重印 Remark15 印字模糊(褪色)Fade mark16 印字粘污 Smear19 电流current21 字体(字形) Font22 定位针 Location pin23 胶皮打印机 Pad printer24 激光打印机 Laser Marking M/C25 后固化 PMC(Post Mold Cure)26 后固化烤箱 PMC Oven27 打印污斑 Marking stain28 印记移位Marking shift电镀 Plating1 电镀 Plating2 来料Incoming3 冲废 Dejunk4 热煮软化槽 Socking Tank7 检验 Inspection 外观检测8 烘烤 Curing / Baking 150℃; 60-90ms9 出料 Unload10 高速线电镀 High-speed Plating Line11 统计过程控制 SPC12 搭锡 Solder bridge13 锡丝、锡须Solder flick / Whisker14 镀层不良 Plating defects15 发黄 Yellowish16 发黑 Blacken17 变色 Discolor18 露底材(露铜) Expose copper19 粘污 Smear20 镀层厚度 Plating thickness 7-20um21 镀层成分 Plating composition 电镀成分, Sn22 外观 Outgoing23 易焊性Solder ability24 无铅化 Pb-free / lead free25 结合力 Adhesive force26 可靠性Reliability27 电解Electrolytic deflash28 清洗(自来水)City water29 高压清洗 High pressure rinse30 脱脂 Descale31 清洗(纯水) DI water32 活化(合金) Activation33 预镀、预浸 Pre-dip34 电镀Plating35 吹风 Air blow36 中和Neutralization37 褪镀Stripper38 拖出 Drag out39 上料机 Loader40 下料机 Unloader41 纯锡Tin42 纯水(去离子水) DI water43 水压Water pressure44 理化分析Physical and chemical analysis45 测厚仪Plating Thickness Meter / Electroplated CoatingThickness Test46 离子污染度测试仪 Ion Contamination Tester Contamino CT10047 C含量测试仪Carbon Tester51 去氧化HSCU Descale52 预浸 Pre-dip53 电镀电流 Current54 镀液温度 Temperature电镀液 plating solution55 电镀槽 plating tank56 中和Neutralization59 烘干 Curing60 锡球 Solder ball61 锡厚度和成分 Sn thickness & composition62 冲废De-junk去胶渣63 去溢料 Degate 冲塑,冲胶64 去飞边 Deflash 去胶(塑封工序)65 锡铅电镀 Tin lead plating66 无铅电镀 Lead free plating; Pure tin plating67 镀层起泡Solder bump68 镀层剥落 Solder peel off69 镀层偏厚或偏薄 Thick or Thin Plating70 退锡 Solder remove71 电镀报废Plating scrap72 锡渣 Solder peeling73 电镀锡块Solder bump74 电镀桥接Plating bridge75 电镀变色SP Discoloration76 电镀污染 SP Contamination77 电镀锡攀爬SP adhere 78 电解除油Electro-degreasing测试 Testing1 测试 Testing2 打印 Laser mark3 编带机 Tape & Reel Machine4 编带 Reel5 测试机 Tester6 分选机 Tray Test Handler7 Vision检测 Direction vision8 划伤 Scratch9 打错 Wrong mark10 断字 Broken character11 漏字No marking12 模糊 Fade mark13 脚长Lead length14 脚宽 Lead width15 站立度 Stand up16 脚间距 Lead pitch17 共面性 Coplanarity18 跨度 Row space19 电性能测试 Electrical test20 塑料管 Plastic tube21 编带 Reel / Tape22 托盘,盘装 Tray23 扫描测脚 Leads Scan/Inspection24 扫描测脚机Leads scanner25 投影仪 Profile Projector 测试 TestingLaser 激光Lamp 灯管Lamp current 灯管电流Marking layout打印内容Power supply 电源Frequency 频率On-loader 上料部分Off-loader 下料部分Marking box 打印区域Track 轨道Location pin 定位针Scanner 扫描器Beam 光束Beam path 光路Bar code 条形码Sensor 传感器Motor 马达Driver 驱动器Index 步进Tool 模具Press 模具Punch 刀具Jam 卡料Forming 成型Cylinder气缸Laser head 光头Magazine 盒子Tray 板子Arm 机械臂Safety door 安全门Reset 复位Lamp 灯管Keyboard 键盘Alarm 报警Error 错误Open/Short(O/S) 开路/短路Function Reject 功能失效Parameter Reject参数失效Retention Reject保持力失效Icc Reject 电流失效Test Program 测试程序Cold test冷测Retest 重新测试Rework 返工Sample 抽样Resample 重新抽样Black box盛放未测试产品的黑盒子Testing area 测试区域Test chuck 测试平台Device Interface Board(DIB) 芯片测试接口板DUT 正在测试芯片A/D (analog-to-digital) converter 模/数转换模块EOT 测试结束信号SOT测试开始信号BIN signal 分BIN 信号JIG/Test Head 测试盒/测试头Interface Card 接口通讯卡Interface Cable 接口通讯线Coaxial Cable 同轴线Test parameter 测试参数Tester Computer 测试机主机Test limit 测试结果的上下限AC Multiplexer 多路交流信号板Digital Driver and Detector 数字输入/输出装置Dual Voltage/Current Source双路电压/电流源Station Monitor显示测试结果的窗口Checker 检测程序High-Speed Digital (HSD) Instrument 高速数字测试设备High-Current-Voltage-Source 高电流电压源Finger 金手指Contactor 金手指动作模块Convey motor 变送马达Contact side 测试位置General Control Panel 总控制面板Ionizer 离子风扇Capacitor box 电容盒。
dfn封装尺寸-回复什么是封装尺寸?在电子领域中,封装尺寸(Package Size)是指芯片或电子元件的外部尺寸,通常以长、宽、高的单位表示。
不同的封装尺寸决定了电子元件的体积大小,直接影响到设备的整体尺寸和组装密度。
随着电子元件的不断发展,封装尺寸越来越小,需要更高的集成度和技术要求,以适应各种小型化或微型化产品的需求。
因此,封装尺寸的选择和设计对于电子产品的性能、可靠性和成本都具有重要意义。
下面将逐步回答关于封装尺寸的问题,帮助读者更好地了解该主题。
第一步:封装尺寸的影响因素有哪些?封装尺寸的选择会受到以下几个因素的影响:1. 功能需求:电子元件的功能要求是否需要大量引脚或多个功能模块,这将直接决定封装的尺寸大小。
2. 热管理:封装的尺寸与电子元件的散热和温度管理密切相关。
较小的封装尺寸通常限制了散热器和散热解决方案的使用空间,可能导致热量聚集和高温问题。
3. 生产工艺:封装尺寸也会受到制造工艺的限制影响。
例如,微型化的封装可能需要更高的制造精度和技术,提高生产成本。
第二步:不同封装尺寸的分类有哪些?根据封装尺寸的不同,通常可以将其分类为以下几种常见类型:1. 传统封装(Traditional Packages):如Dual In-line Package(DIP)和Quad Flat Package(QFP)等。
这些封装通常较大,引脚较多,适用于功率较大或功能复杂的电子元件。
2. 超轻薄封装(Ultra-Thin Packages):这些封装主要用于手机、平板电脑等小型化设备,如Ball Grid Array(BGA)和Chip Scale Package(CSP)等。
尺寸小,引脚密集,功耗低,适用于集成度要求高的应用。
3. 微型封装(Micro Packages):如Quad Flat No-Lead(QFN)和Small Outline Transistor(SOT)等。
这些封装尺寸比传统封装更小,引脚数量较少,适用于轻薄化和小型化电子设备。
dfn封装尺寸1. 什么是dfn封装尺寸?dfn封装尺寸(Dimensional Framework for Nomenclature)是一种用于描述、组织和管理标准化术语和定义的框架。
它提供了一套规范和一致的标准,使得不同领域的专业人士能够共同理解和使用术语,从而促进跨学科和跨行业之间的沟通与合作。
2. dfn封装尺寸的结构dfn封装尺寸由三个主要维度构成:2.1 意义维度意义维度是指术语的定义和含义。
在dfn封装尺寸中,每个术语都有一个明确定义,并且在不同上下文中具有相同的含义。
这种一致性可以避免术语歧义,确保信息传递的准确性。
2.2 范围维度范围维度描述了术语所涵盖的对象或概念范围。
通过定义清晰的范围,可以帮助人们更好地理解术语在特定领域内的应用,并避免将其误用于其他领域。
2.3 等级维度等级维度用于对术语进行分类和排序。
通过将术语按照其重要性、通用性或其他特征进行分级,可以更好地组织和管理各种术语,并在需要时提供更精确的信息。
3. dfn封装尺寸的应用3.1 标准化与规范制定dfn封装尺寸可用于标准化和规范制定过程中。
通过统一定义和范围,不同领域的专家可以就特定问题达成共识,并制定出一致的标准和规范,从而提高产品、服务和流程的质量和效率。
3.2 跨学科研究与合作在跨学科研究和合作中,不同领域的专家需要共享和理解彼此的术语。
dfn封装尺寸提供了一个统一的框架,使得跨学科团队能够更好地沟通、协作和交流,促进知识创新与跨领域合作。
3.3 教育与培训dfn封装尺寸可用于教育与培训领域,帮助学生或员工掌握并正确使用各种术语。
通过明确的定义和范围,学生或员工能够更好地理解和应用所学的知识,并在实践中避免术语误用和歧义。
3.4 知识管理与信息检索在知识管理和信息检索过程中,dfn封装尺寸可以帮助组织有效组织和管理大量的术语和定义。
通过将术语按照意义、范围和等级进行分类,可以更快速地检索相关信息,并提供准确且一致的结果。
半导体dfn封装的中文术语
半导体dfn封装是电子行业中的一种封装技术,它被广泛应用于集成电路的制造过程中。
该封装技术能够有效地保护电子器件,提高其稳定性和可靠性。
下面我将从不同角度对半导体dfn封装进行描述。
一、半导体dfn封装的定义和特点
半导体dfn封装是一种采用无引脚底部焊盘和封装材料对芯片进行封装的技术。
与传统的封装技术相比,dfn封装具有以下特点:
1. 封装尺寸小:dfn封装可以实现芯片的高集成,尺寸更小,适用于电子设备的微型化和轻量化。
2. 低功耗:dfn封装的无引脚底部焊盘设计减少了电阻和电感,降低了功耗,提高了芯片的性能。
3. 优良的散热性能:dfn封装采用底部焊盘散热设计,能够更好地散热,提高芯片的工作稳定性。
4. 高可靠性:dfn封装采用无引脚设计,减少了焊接点,降低了故障率,提高了芯片的可靠性。
二、半导体dfn封装的应用领域
半导体dfn封装广泛应用于电子设备的制造和通信领域。
具体包括:1. 手机和平板电脑:dfn封装的小尺寸和低功耗特性非常适合手机和平板电脑等移动设备的需求。
2. 无线通信设备:dfn封装的高可靠性和优良散热性能使其成为无
线通信设备中关键部件的首选。
3. 汽车电子:dfn封装能够满足汽车电子产品对小尺寸、高可靠性和低功耗的要求。
4. 工业控制设备:dfn封装具有抗震、抗干扰等特点,适用于工业控制设备等恶劣环境下的应用。
三、半导体dfn封装的未来发展趋势
随着电子技术的不断进步,半导体dfn封装也在不断发展。
未来,dfn封装有望实现以下发展趋势:
1. 封装尺寸进一步缩小:随着芯片尺寸的减小和集成度的提高,dfn封装尺寸将进一步缩小,实现更高的集成性。
2. 更高的功耗效率:随着材料和工艺的进步,dfn封装将实现更低的功耗和更高的电子器件性能。
3. 更好的散热设计:随着散热技术的不断发展,dfn封装将实现更好的散热效果,提高芯片的工作稳定性。
4. 更广泛的应用领域:随着电子设备的普及和需求的增加,dfn封装将在更多领域得到应用,如物联网、人工智能等。
半导体dfn封装是一种先进的封装技术,具有小尺寸、低功耗、高可靠性和良好的散热性能等特点。
它广泛应用于手机、平板电脑、无线通信设备、汽车电子和工业控制设备等领域。
未来,随着技术的进一步发展,dfn封装有望实现尺寸更小、功耗效率更高、散热性能更好和应用领域更广泛的发展。
这将为电子行业带来更多的机
遇和挑战,推动行业的进一步发展。