数字系统设计及VHDL实践第1章
- 格式:ppt
- 大小:1.33 MB
- 文档页数:27
数字系统设计与veriloghdl课后答案【篇一:数字逻辑与数字系统设计习题参考答案】>第1章习题解答1.3 (1)86(2)219(3)106.25(4)0.6875 (4)0.1011.4 (1)101111(2)1001000(3)100001l.111.5 (1)(117)10=(165)8=(1110101)2=(75)16(2)(3452)10=(6574)8=(110101111100)2=(d7c)16(3)(23768.6875)10=(56330.54)8=(101110011011000.1011)2=(5cd 8.b)16 (4)(0.625)10=(0.5)8=(0.101)2=(0.a)16 1.6(1)(117)8=(1001111)2=(79)10(2)(7456)8=(111100101110)2=(3886)10(3)(23765.64)8=(10 0111 1111 0101.1101)2=(10229.8125)10(4)(0.746)8=(0.11111)2=(0.96875)10 1.7 (1)(9a)16=(10011010)2=(154)10(2) (3cf6)16=(11110011110110)2=(15606)10(3) (7ffe.6)16=(111111*********.011)2=(32766.375)10 (4)(0.c4)16=(0.110001)2=(0.765625)10 1-8(1)(125)10=(000100100101)8421bcd(2)(7342)10=(0111001101000010)8421bcd(3)(2018.49)10=(0010000000011000.01001001)8421bcd(4)(0.785)10=(0.011110000101)8421bcd1.9(1)(106)10=(1101010)2 原码=反码=补码=01101010 (2)(-98)10=(-1100010)2原码=11100010反码=10011101 补码=11100011(3)(-123)10=(-1111011)2 原码=11111011反码=10000101 补码=11111011(4)(-0.8125)10=(-0.1101)2 原码=1.1101000反码=1.0010111 补码=1.00110001.10(1)(104)10=(1101000)2 [1101000]补=01101000(-97)10=(-1100001)2 [-1100001]补=1001111101101000 + 10011111 0000011110000011 + 01001111 11010010[104-97]补=01101000+10011111=00000111, 104-97=(00000111)2=7 (2) (-125)10=(-1111101)2(79)10=(01001111)2[-1111101]补=10000011 [01001111]补=0100111101111000 [-125+79]补=10000011+01001111=11010010,-125+79=(-0101110)2=-46 (3) (120)10=(1111000)2[01111000]补=01111000(-67)10=(-1000011)2[-1000011]补=10111101[120-67]补=10000011+01001111=00110101,-125+79=(00110101)2=53 (4) (-87)10=(-1010111)2[-1010111]补=10101001(12)10=(1100)2[1100]补=00001100[-87+12]补=10101001+00001100=10110101,-125+79=(-1001011)2=-75+ 10111101 0011010110101001+ 00001100 10110101第2章习题解答2.3 解:根据逻辑图可直接写出逻辑表达式:(a) f=ab?bc;(b)f=abbcac解:设3个输入变量分别为a、b、c,输出为f,按题意,其中有奇数个为1,则输出f=1,因此可写出其逻辑表达式为f=abc?abc?abc?abc。
第一章VHDL文本输入设计方法1.1 编辑输入并存盘VHDL原文件首先应该建立好工作库目录,以作设计工程项目的工作库。
例如设文件夹为:E:\muxfile ,以便将设计过程中的相关文件存储在此。
任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关文件的文件夹,此文件夹将被EDA软件默认为工作库(Work Library)。
注意,文件夹不能用中文。
然后打开MAX+plusII,选择菜单“File”→“New…”,出现对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。
在出现的“Untitled-Text Editor”文本编辑窗(图1-1)中键入图1-1所示的VHDL程序(2选1多路选择器),毕后,选择菜单“File→Save”,即出现如图1-1所示的“Save As”对话框。
首先在“Directories”目录框中选择自己已建立好的存放本文件的目录E:\MUXFILE(用鼠标双击此目录,使其打开),然后在“File Name”框中键入文件名“MUX21A.VHD”,按“OK”按钮,即把输入的文件放在目录E:\MUXFILE中了。
注意,1、VHDL程序文本存盘的文件名必须与文件的实体名一致,如MUX21A.VHD;2、文件的后缀将决定使用的语言形式,在MAX+plusII中,后缀为.VHD表示VHDL文件;后缀为.TDF表示AHDL文件等。
如果后缀正确,存盘后对应该语言的文件中的主要关键词都会改变颜色。
1.2 将当前设计设定为工程为了使Max+plusII能对输入的设计项目进行处理,在编译/综合MUX21A.VHD之前,需要设置此文件为顶层文件,或称工程文件:Project,或者说将此项设计设置成工程:选择菜单“File”→Project→“Set Project to Current File”,当前的设计工程即被指定为MUX21A 。
V H D L语言与数字系统的设计应用科技蒋敏1王寒寒:(1.郑州交通职业学院,河南郑州450062;2.胜利油田兴泰石油化工有限公司,山东东营257000)膀要]基于强大的E D A教术的支持,以vH D L为主要设计手段,充分开发利用C PLD芯片丰富而灵活的逻辑资源,成为当前数字系统设计的主要发展方向。
介绍了VHDL㈣A.MAX+PLUS21I开发软件的使用。
麒键词]V H D L;数字系统;仿真数字系统的逻辑设计,多年前早已开展了研究,但目前尚未达到广泛使用的地步。
许多人为了正确地描述系统的结构和性能,对数字系统的描述作了许多探讨,并获得了各式各样的语言。
V H D L是一种超高速集成电路硬件描述语言,它提供了—个标准的,从逻辑门级到数字系统级的各抽象级描述硬件的标准文本,提供了精确的语法和语义,为集成电路及系统设计提供了形式化、层次化和规范化的描述,不仅能有效地用于C A D进行模拟,而目可作为—种精确的自然语言用于设计者之间的设计交流,它允许设计者在语言的基本作用范畴之外表示信息,尽管最初的工具在某些级《伊J如开关级)不能提供模拟。
由于没有限制设计者必须拥有特殊的硬件技术或设计方法,该语言在工业E有着广泛的用途,它被喻为“硬件描述语言中的‘FO R T RA N”’,其性能是其它硬件描述语言无法媲美的。
1V H D L的由来V H D L是一项诞生于美国国防部所支持的研究计划,目的是为了把电子电路的设计意义以文字或文件的方式保存下来,以便其他人能轻易地了解电路的意义。
1985年完成第一版的硬件描述语言,两年后(1987)成为I EE E 标准,即IEE El076标准。
1988年,美国国防部规定所有官方的A—SIC设计邰必须以V H D L为设计描述语言,所以V H D L就渐渐成为工业界的标准。
之后于1993年增修为众所周知的I EE El l64标准,1996年,I E EE又将电路合成的标准程序与规格加入至V H D L硬件描i蕉i狺中,成为IEEEl0763标准。
1.8.1填空1.EDA的英文全称是Electronic Design Automation2.EDA技术经历了计算机辅助设计CAD阶段、计算机辅助工程设计CAE阶段、现代电子系统设计自动化EDA阶段三个发展阶段3. EDA技术的应用可概括为PCB设计、ASIC设计、CPLD/FPGA设计三个方向4.目前比较流行的主流厂家的EDA软件有Quartus II、ISE、ModelSim、ispLEVER5.常用的设计输入方式有原理图输入、文本输入、状态机输入6.常用的硬件描述语言有VHDL、Verilog7.逻辑综合后生成的网表文件为EDIF8.布局布线主要完成将综合器生成的网表文件转换成所需的下载文件9.时序仿真较功能仿真多考虑了器件的物理模型参数10.常用的第三方EDA工具软件有Synplify/Synplify Pro、Leonardo Spectrum11.2000年推出的Pentium4微处理器芯片的集成度达(4200 )万只晶体管。
12.在EDA发展的(CAD )阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PCB)布局布线等工作。
13.在EDA发展的(CAE )阶段,人们可以将计算机作为单点设计工具,并建立各种设计单元库,开始用计算机将很多单点工具集成在一起使用。
14.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。
15.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。
16.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。
17.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。
18.以EDA方式设计实现的电路设计文件,最终可以编程下载到FPGA 和CPLD芯片中,完成硬件设计和验证。
19.MAX+PLUS的文本文件类型是(后缀名).VHD 。
20.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。
数字系统设计及实验实验报告一、实验目的数字系统设计及实验课程旨在让我们深入理解数字逻辑的基本概念和原理,掌握数字系统的设计方法和实现技术。
通过实验,我们能够将理论知识应用于实际,提高解决问题的能力和实践动手能力。
本次实验的具体目的包括:1、熟悉数字电路的基本逻辑门、组合逻辑电路和时序逻辑电路的设计方法。
2、掌握使用硬件描述语言(如 Verilog 或 VHDL)进行数字系统建模和设计。
3、学会使用相关的电子设计自动化(EDA)工具进行电路的仿真、综合和实现。
4、培养团队合作精神和工程实践能力,提高解决实际问题的综合素质。
二、实验设备和工具1、计算机:用于编写代码、进行仿真和综合。
2、 EDA 软件:如 Quartus II、ModelSim 等。
3、实验开发板:提供硬件平台进行电路的下载和测试。
4、数字万用表、示波器等测量仪器:用于检测电路的性能和信号。
三、实验内容1、基本逻辑门电路的设计与实现设计并实现与门、或门、非门、与非门、或非门和异或门等基本逻辑门电路。
使用 EDA 工具进行仿真,验证逻辑功能的正确性。
在实验开发板上下载并测试实际电路。
2、组合逻辑电路的设计与实现设计一个 4 位加法器,实现两个 4 位二进制数的相加。
设计一个编码器和译码器,实现数字信号的编码和解码。
设计一个数据选择器,根据控制信号选择不同的输入数据。
3、时序逻辑电路的设计与实现设计一个同步计数器,实现模 10 计数功能。
设计一个移位寄存器,实现数据的移位存储功能。
设计一个有限状态机(FSM),实现简单的状态转换和控制逻辑。
四、实验步骤1、设计方案的确定根据实验要求,分析问题,确定电路的功能和性能指标。
选择合适的逻辑器件和设计方法,制定详细的设计方案。
2、代码编写使用硬件描述语言(如 Verilog 或 VHDL)编写电路的代码。
遵循代码规范,注重代码的可读性和可维护性。
3、仿真验证在 EDA 工具中对编写的代码进行仿真,输入不同的测试向量,观察输出结果是否符合预期。
数字系统实验指导书实验一开发环境使用训练实验二基本语法使用训练实验三组合、时序逻辑电路设计实验四有限状态机设计实验一开发环境使用训练一、实验目的1.掌握QuartusII使用方法;2.掌握原理图输入设计方法。
二、实验仪器1.微型计算机一台2.QuartusII 软件三、实验原理1.运行QuartusII软件,原理图输入,进行设计。
图1 QuartusII软件界面2. 3-8译码器原理图图1 3-8译码器原理图3. 新建VHDL文件参考程序:library ieee;use ieee.std_logic_1164.all;entity decoder isPort ( aa: in std_logic_vector(2 downto 0);qq: out std_logic_vector(7 downto 0));end decoder;architecture one of decoder isbeginprocess(aa)begincase aa iswhen “000” => qq<=”00000001”;when “001” => qq<=”00000010”;when “010” => qq<=”00000100”;when “011” => qq<=”00001000”;when “100” => qq<=”00010000”;when “101” => qq<=”00100000”;when “110” => qq<=”01000000”;when “111” => qq<=”10000000”;end case;end process;end one;四、实验内容及步骤步骤1:打开QuartusII软件;步骤2:创建工程;步骤3:打开原理图编辑器;(步骤3:新建VHDL文件)步骤4:原理图编辑;(步骤4:编辑VHDL文件)步骤5:引脚命名;(无)步骤6:保存原理图,并将设计文件加入工程;(步骤5:保存VHDL文件,将设计文件加入工程。
数字系统实验报告实验一
一、实验目的
熟悉quartus环境下的vhdl电路设计,学习简单组合电路设计。
二、实验内容
设计双二选一多路选择器:
1.设计二选一多路选择器
2.将两个二选一多路选择器连接,完成三选一功能
3.仿真验证及下载测试
三、实验过程
1.设计二选一多路选择器。
在quartus中新建工程,并创建vhdl文件,编写代码如下:
2.将两个二选一选择器连接构成双二选一多路选择器,连接方式如下:
根据连接方式,可以得到输入输出真值表:
3.引脚绑定
按下表进行引脚绑定
四、实验结果及结论
1.时序仿真结果
对双四选一多路选择器进行时序仿真,结果如下:
仿真遍历了所有输入端口的取值,在S1,S2分别取00,01,10,11时,输出分别对应A,B,C,B的值,对比真值表,可以发现仿真结果正确。
2.下载验证
按引脚图绑定端口,其中S1,S2分别由两个键控制,输出口A,B,C连接的是电路板的音调控制,将两个键自由组合按下,可以明显听到发出三种不同的音调。
因此可以验证设计无误。
数字系统设计(VHDL)课程教学改革与实践数字系统设计(VHDL)是本科院校电类专业学生的一门专业课,其发展日新月异,如何更好的培养学生的实践能力,使教学内容能够紧跟技术发展前沿已经成为当前教学的重要研究课题,为此文章提出了突出实践能力的综合考核方式,以及实验内容与电子设计大赛相结合的教学改革方法。
标签:数字系统设计;电子设计大赛;实践能力;教学改革1 研究背景《数字系统设计(VHDL)》是一种软硬件合一的数字电子设计技术,它的设计语言采用硬件描述语言,以EDA软件为工作平台,以专用集成电路为实现载体,来设计复杂的电路系统,代表了现代电子设计方法的主流趋势[1]。
因此该课程具有较高的理论性和实践性,而且更加注重实践。
独立学院的方针是培养应用型人才,而且从全国近几年大学生电子设计大赛的题目来看,利用EDA技术完成的竞赛题目所占比例逐年提高,题目更加灵活多变,要求也越来越高,这些变化反应出目前业界对当代工科电类专业大学生技能掌握的需求方向。
基于以上两点,针对数字系统设计课程的实践教学环节进行改革与创新,切实提高学生应用EDA技术设计电路的能力,是独立学院电信类专业课程建设的一项重要任务,具有极高的应用价值。
但在当前“数字系统设计(VHDL)”课程的教学环节仍存在着若干弊端[2],需要引起重视并想办法加以解决。
本文对该课程的理论与实践教学方法、考试方法提出三点建议,以期改进教学方法,提高教学效果,使该课程在培养学生的创新实践能力中起到应有的作用。
2 教学中存在的问题2.1 课程内容缺乏前沿性、连贯性,重点不突出许多现有的教材内容上更新速度慢,缺乏前沿性,不能全面展示数字系统设计技术的新成果和发展趋势;编写上缺乏完整的课程观,章节结构不合理,重点不突出,理论叙述多而配套的实验和习题少。
导致学生没有明确课程目标,对课程内容感到枯燥、乏味,学习积极性不高。
2.2 教学方法单调,教与学结合不紧传统教学方法以教师讲授为主,学生在封闭的课堂环境下获取数字系统设计知识,方式单调,互动有限,缺少及时动手实践的机会。