实验三组合电路设计(一)(可编程实验)
- 格式:doc
- 大小:156.00 KB
- 文档页数:4
实验三组合逻辑电路的设计(一)一、实验目的1.掌握用SSI器件设计组合逻辑电路的方法;2.熟悉各种常用MSI组合逻辑电路的功能与使用方法;3.掌握多片MSI组合逻辑电路的级联、功能扩展;4.学会使用MSI逻辑器件设计组合电路;5.培养查找和排除数字电路常见故障的初步能力。
二、实验器件1.74LS00 四二输入与非门74LS20 双四输入与非门2.74LS138 三线—八线译码器74LS139 双二线—四线译码器三、实验原理组合逻辑电路是最常见的逻辑电路,其特点是在任何时刻电路的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。
组合逻辑电路的设计,就是如何根据逻辑功能的要求及器件资源情况,设计出实现该功能的最佳电路。
在采用小规模器件(SSI)进行设计时,通常将函数化简成最简与—或表达式,使其包含的乘积项最少,且每个乘积项所包含的因子数也最少。
最后根据所采用的器件的类型进行适当的函数表达式变换,如变换成与非—与非表达式﹑或非—或非表达式﹑与或非表达式及异或表达式等。
在数字系统中,常用的中规模集成器件(MSI)产品有编码器﹑译码器﹑全加器﹑数据选择/分配器﹑数值比较器等。
用这些功能器件实现组合逻辑函数,基本采用逻辑函数对比方法。
因为每一种中规模集成器件都具有某种确定的逻辑功能,都可以写出其输出和输入关系的逻辑函数表达式。
在进行设计时,可以将要实现的逻辑函数表达式进行变换,尽可能变换成与某些中规模集成器件的逻辑函数表达式类似的形式。
下来我们介绍一下使用中小规模器件设计组合逻辑电路的一般方法。
四、组合电路设计原则及其步骤组合电路的设计是由给定的的逻辑功能要求,设计出实现该功能的逻辑电路,设计过程大致按下列步骤进行:(1)分析设计要求,把用文字描述的形式的设计要求抽象成输入、输出变量的逻辑关系;(2)根据分析出的逻辑关系,通过真值表或其他方式列出逻辑函数表达式;(3)根据题目提供给你的芯片,将逻辑函数化简到所需要的函数式;(4)画出逻辑电路图或电路原理图;对于MSI组合逻辑电路的设计是以所用MSI个数最少、品种最少,同时MSI间的连线也最少作为最基本的原则。
学生实验报告实验课程名称可编程控制器原理开课实验室机电学院学院年级专业班学生姓名学号开课时间10 至11 学年第二学期实验一基本指令的编程练习(一)与或非逻辑功能实验一、实验任务及实验目的1、熟悉PLC实验装置及实验箱,S7-200系列编程控制器的外部接线方法2、了解编程软件STEP7的编程环境,软件的使用方法。
3、掌握与、或、非逻辑功能的编程方法。
二、实验过程1:基本指令编程练习的实验面板图图6-1图中的接线孔,通过防转座插锁紧线与PLC的主机相应的输入输出插孔相接。
SBi+为输入点正,SBi-为输入点负,HLi+为输出点正,HLi-为输出点负。
上图中中间一排SB0~SB4、SQ0~SQ4为输入按键和开关,模拟开关量的输入。
左图中中间一排HL0~HL7是LED指示灯,接PLC主机输出端,用以模拟输出负载的通与断。
2、编制梯形图并写出程序通过程序判断Q0.1、Q0.2、Q0.3、Q0.4的输出状态,然后输入程序并运行,加以验证。
梯形图参考图图6-2 梯形图参考图表6-23、实验步骤梯形图中的SQ1、SQ3分别对应控制实验单元输入开关I0.1、I0.3。
通过专用的PC/PPI电缆连接计算机与PLC主机。
打开编程软件STEP7,逐条输入程序,检查无误后,将所编程序下载到主机内,并将可编程控制器主机上的STOP/RUN开关拨到RUN位置,运行指示灯点亮,表明程序开始运行,有关的指示灯将显示运行结果。
拨动输入开关SQ1、SQ3,观察输出指示灯Q0.1、Q0.2、Q0.3、Q0.4是否符合与、或非逻辑的正确结果三、实验结果及总结拨动输入开关SQ1、SQ3,观察输出指示灯Q0.1、Q0.2、Q0.3、Q0.4符合与、或非逻辑的正确结果通过实验,了解了PLC实验装置及实验箱,S7-200系列编程控制器的外部接线方法,熟悉了编程软件STEP7的编程环境,软件的使用方法,结合课本,使我们巩固了课本知识。
(二)定时器/计数器功能实验一、实验任务及实验目的掌握定时器、计数器的正确编程方法,并学会定时器和计数器扩展方法,用编程软件对可编程控制器的运行进行监控。
实验三三极管放大电路设计一、实验目的1.了解三极管的基本工作原理和放大特性。
2.掌握三极管放大电路的设计和调整方法。
二、实验原理三极管放大电路是以三极管为核心元件的放大电路,通过适当的偏置和负反馈,可以实现对输入信号的放大。
三极管放大电路通常由输入端、输出端和三极管组成。
1.BJT三极管BJT三极管的主要结构有NPN型和PNP型两种。
在NPN型三极管中,由两个不掺杂的P型半导体夹着一个高掺杂的N型半导体构成,形成了PN结。
三极管的三个引脚分别为发射极(Emitter),基极(Base)和集电极(Collector)。
在基极与发射极之间加正向偏置电压Ube,使得PN结处于正向偏置状态。
当基极处于正向电压Ube时,使得发射极与集电极间形成一个电流通道。
此时,如果在集电极与发射极间设置一个负电压Uce,集电极的载流子会被集电区的电场吸引,形成集电电流Ic,从而实现了三极管放大器的放大作用。
三极管放大电路分为共发射、共基和共集三种基本结构。
常用的放大电路有共发射放大电路、共射放大电路和共源放大电路。
以下以共发射放大电路为例进行设计。
共发射放大电路的输入端是基极,输出端是集电极。
设计时需要注意以下几个方面:(1)确定输入和输出电阻:输入电阻是指输入端的电压变化引起的输入电流变化的比值,输出电阻是指输出端的电压变化引起的输出电流变化的比值。
一般来说,输入电阻越大越好,输出电阻越小越好。
(2)确定直流工作点:直流工作点是指三极管在放大器工作状态下的工作点。
选择合适的直流工作点,可以使输出信号对输入信号变化进行放大,同时尽量避免饱和和截至现象。
(3)选取合适的偏置电路:偏置电路用于确保三极管正常工作,在选择时需要保证偏置点稳定、温度稳定和电源稳压等。
三、实验步骤1.搭建共发射放大电路,具体电路如下图所示。
其中,三极管型号为2N39042.调节R1、R2和Re使得三极管的基极电压为0.6V左右,可以通过电压表测量。
verilog实验报告Verilog实验报告引言:Verilog是一种硬件描述语言(HDL),用于设计和模拟数字电路。
它是一种高级语言,能够描述电路的行为和结构,方便工程师进行数字电路设计和验证。
本实验报告将介绍我在学习Verilog过程中进行的实验内容和所获得的结果。
实验一:基本门电路设计在这个实验中,我使用Verilog设计了基本的逻辑门电路,包括与门、或门和非门。
通过使用Verilog的模块化设计,我能够轻松地创建和组合这些门电路,以实现更复杂的功能。
我首先创建了一个与门电路的模块,定义了输入和输出端口,并使用逻辑运算符和条件语句实现了与门的功能。
然后,我创建了一个测试模块,用于验证与门的正确性。
通过输入不同的组合,我能够验证与门的输出是否符合预期。
接下来,我按照同样的方法设计了或门和非门电路,并进行了相应的测试。
通过这个实验,我不仅学会了使用Verilog进行基本门电路的设计,还加深了对逻辑电路的理解。
实验二:时序电路设计在这个实验中,我学习了如何使用Verilog设计时序电路,例如寄存器和计数器。
时序电路是一种具有状态和时钟输入的电路,能够根据时钟信号的变化来改变其输出。
我首先设计了一个简单的寄存器模块,使用触发器和组合逻辑电路实现了数据的存储和传输功能。
然后,我创建了一个测试模块,用于验证寄存器的正确性。
通过输入不同的数据和时钟信号,我能够观察到寄存器的输出是否正确。
接下来,我设计了一个计数器模块,使用寄存器和加法电路实现了计数功能。
我还添加了一个复位输入,用于将计数器的值重置为初始状态。
通过测试模块,我能够验证计数器在不同的时钟周期内是否正确地进行计数。
通过这个实验,我不仅学会了使用Verilog设计时序电路,还加深了对触发器、寄存器和计数器的理解。
实验三:组合电路设计在这个实验中,我学习了如何使用Verilog设计组合电路,例如多路选择器和加法器。
组合电路是一种没有状态和时钟输入的电路,其输出只取决于当前的输入。
西安邮电学院实验中心实验报告院系电子工程学院班级学号姓名成绩教师签字实验日期实验名称组合逻辑电路设计(一)--编译码器设计_______________________________________________________一、实验目的二、实验所用仪表及主要器材三、实验原理简述四、实验测量记录:(如数据、表格、曲线、计算等)五、实验遇到的问题及解决办法:(余留问题,体会等)一、实验目的(1)熟悉组合逻辑电路的VHDL描述方法。
(2)掌握利用CPL器件实现组合逻辑数字电路的方法和过程。
(3)熟练掌握“case”语句与“if…else…”语句的用法。
二、实验所用仪表及主要器材PC,可编程逻辑实验电路板,下载线,USB电源线,双踪示波器,数字万用表,导线若干。
三、实验原理简述应用VHDL设计简单的逻辑电路四、实验内容在MAX+PULSII环境下,用VHDL语言按照输入—>编译—>仿真。
(1)8421BCD码转换为余3码转换表.在MAX+plusII 环境下,用VHDL 语言描述下列逻辑电路,并编译,仿真。
程序仿真结果:(2)设计一个优先编码器。
程序实现如下:仿真结果:五、实验结果见上述内容。
六、实验心得在本次实验中我学会了使用MAX+PLUSII软件的文本编程的方式设计电路。
在本次实验的文本编译环节中出现不少问题:(1)保存时文件名与实体名不一致,导致程序编译结果不正确。
(2)写程序时没有按照语法规则编写,使得文件编译频繁报错,标点的错误也会导致整个程序无法编译。
经过本次实验,加深了我对VHDL的文本编译设计的理解,今后我应该多练习MAX+PLUSII软件以减少错误。
南京理工大学泰州科技学院FPGA系统设计实验报告教材名称:FPGA系统设计与应用开发指导教师:周莉莉实验室:4401学院(系):电子电气工程学院专业班级:10电信(1)班姓名:周根生朱守超学号:1002040149 1002040150实验学期:2013-2014学年第一学期总评成绩:教师签字:南京理工大学泰州科技学院FPGA系统设计实验报告目录实验一Max+plusII原理图设计输入 (1)实验二简单逻辑电路设计与仿真 (6)实验三组合逻辑电路设计(一) (11)实验四组合逻辑电路设计(二) (16)实验五有限状态机的设计 (26)实验六数字频率计 (32)南京理工大学泰州科技学院FPGA系统设计实验报告课程: FPGA系统设计班级:10电信1班姓名:周根生朱守超学号:10020401491002040150指导教师:周莉莉实验日期:实验题目:Max+plusII原理图设计输入成绩:一、设计任务采用原理图设计输入法,设计一个具有四舍五入功能的电路,其输入为4位二进制数,要求输入大于或等于0101时,电路输出为高电平,小于0101时电路输出为低电平。
二、设计过程根据设计要求列出四舍五入的真值表,如图1.1所示。
图1.1 四舍五入真值表由图1.1可得化简的表达式为OUT=A+BD+BC,由逻辑表达式可知,要设计的电路图有四个输入端(A,B,C,D)和一个输出端OUT,整个电路由两个2输入端的与门和一个3输入的或门组成。
启动MAX+plusII,新建Graphic Editor file文件,后缀为.gdf。
在编辑界面空白处双击左键,出现输入元件对话框如图1.2所示,在Symbol Name栏中直接输入元件的符号名OK,输入端(input),输出端(output),连接电路如图1.3所示。
图1.2 操作图1.3 原理图芯片型号选择单击Assign,选择Device,如图1.4所示。
图1.4 型号引脚命名双击PIN_NAME,使其变黑后输入引脚名,并保存文件然后编译,如图1.5所示。
计算机组成原理实验报告计算机组成原理实验报告引言计算机组成原理是计算机科学与技术专业中的一门重要课程,通过实验学习可以更好地理解和掌握计算机的基本原理和结构。
本实验报告将介绍我在学习计算机组成原理课程中进行的实验内容和实验结果。
实验一:二进制与十进制转换在计算机中,数据以二进制形式存储和处理。
通过这个实验,我们学习了如何将二进制数转换为十进制数,以及如何将十进制数转换为二进制数。
通过实际操作,我更深入地了解了二进制与十进制之间的转换原理,并且掌握了转换的方法和技巧。
实验二:逻辑门电路设计逻辑门电路是计算机中的基本组成部分,用于实现不同的逻辑运算。
在这个实验中,我们学习了逻辑门的基本原理和功能,并通过电路设计软件进行了实际的电路设计和模拟。
通过这个实验,我深入理解了逻辑门电路的工作原理,并且掌握了电路设计的基本方法。
实验三:组合逻辑电路设计组合逻辑电路是由多个逻辑门组合而成的电路,用于实现复杂的逻辑功能。
在这个实验中,我们学习了组合逻辑电路的设计原理和方法,并通过实际的电路设计和模拟,实现了多个逻辑门的组合。
通过这个实验,我进一步掌握了逻辑电路设计的技巧,并且了解了组合逻辑电路在计算机中的应用。
实验四:时序逻辑电路设计时序逻辑电路是由组合逻辑电路和触发器组合而成的电路,用于实现存储和控制功能。
在这个实验中,我们学习了时序逻辑电路的设计原理和方法,并通过实际的电路设计和模拟,实现了存储和控制功能。
通过这个实验,我进一步了解了时序逻辑电路的工作原理,并且掌握了时序逻辑电路的设计和调试技巧。
实验五:计算机指令系统设计计算机指令系统是计算机的核心部分,用于控制计算机的操作和运行。
在这个实验中,我们学习了计算机指令系统的设计原理和方法,并通过实际的指令系统设计和模拟,实现了基本的指令功能。
通过这个实验,我深入了解了计算机指令系统的工作原理,并且掌握了指令系统设计的基本技巧。
实验六:计算机硬件系统设计计算机硬件系统是由多个模块组成的,包括中央处理器、存储器、输入输出设备等。
组合电路设计实验报告组合电路设计实验报告一、引言组合电路是数字电路中的一种重要类型,它由逻辑门和触发器等基本元件组成,能够实现各种逻辑功能。
本次实验旨在通过设计和实现组合电路,加深对数字电路原理和设计方法的理解。
二、实验目的1. 掌握组合电路的设计方法和实现过程;2. 熟悉逻辑门的功能和应用;3. 培养逻辑思维和解决问题的能力。
三、实验器材与原件1. 逻辑门芯片:与门、或门、非门、异或门等;2. 连线器件:导线、电阻、开关等;3. 示波器、万用表等实验设备。
四、实验内容1. 实验一:与门的设计与实现与门是一种常见的逻辑门,其输出仅在所有输入为高电平时为高电平。
我们需要设计一个与门电路,实现以下逻辑功能:当两个输入信号均为高电平时,输出信号为高电平;否则输出信号为低电平。
通过连接适当的逻辑门芯片和连线器件,我们可以搭建出与门电路,并验证其功能。
2. 实验二:或门的设计与实现或门是另一种常见的逻辑门,其输出在任意输入信号为高电平时为高电平。
我们需要设计一个或门电路,实现以下逻辑功能:当两个输入信号中有一个或两个均为高电平时,输出信号为高电平;否则输出信号为低电平。
通过合理选择逻辑门芯片和连线器件,我们可以搭建出或门电路,并验证其功能。
3. 实验三:非门的设计与实现非门是一种特殊的逻辑门,其输出与输入信号相反。
我们需要设计一个非门电路,实现以下逻辑功能:当输入信号为高电平时,输出信号为低电平;当输入信号为低电平时,输出信号为高电平。
通过适当选取逻辑门芯片和连线器件,我们可以搭建出非门电路,并验证其功能。
4. 实验四:异或门的设计与实现异或门是一种常用的逻辑门,其输出仅在两个输入信号不相同时为高电平。
我们需要设计一个异或门电路,实现以下逻辑功能:当两个输入信号不相同时,输出信号为高电平;否则输出信号为低电平。
通过合理选择逻辑门芯片和连线器件,我们可以搭建出异或门电路,并验证其功能。
五、实验结果与分析在实验过程中,我们按照实验内容的要求,设计并搭建了与门、或门、非门和异或门电路。
注:本实验为设计性实验,没有预先设计好实验方案和实验电路的一律不准来做实验。
实验前要先检查预习报告。
注意划下线部分。
实验三组合逻辑电路的设计与测试一、实验目的掌握组合逻辑电路的设计与测试方法二、实验原理1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。
设计组合电路的一般步骤如图5-1所示。
图5-1 组合逻辑电路设计流程图2、组合逻辑电路设计举例用“与非”门设计一个表决电路。
当四个输入端中有三个或四个为“1”时,输出端才为“1”。
设计步骤:根据题意列出真值表如表5-1所示,再填入卡诺图表5-2中。
由卡诺图得出逻辑表达式,并演化成“与非”的形式Z=ABC+BCD+ACD+ABD=ABC⋅⋅ACDABC⋅BCD根据逻辑表达式画出用“与非门”构成的逻辑电路如图5-2所示。
图5-2 表决电路逻辑图用实验验证逻辑功能在实验装置适当位置选定三个14P插座,按照集成块定位标记插好集成块CC4012。
按图5-2接线,输入端A、B、C、D接至逻辑开关输出插口,输出端Z接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表5-1进行比较,验证所设计的逻辑电路是否符合要求。
三、实验设备与器件1、+5V直流电源2、逻辑电平开关3、逻辑电平显示器4、直流数字电压表3、 CC4011×2(74LS00)共有4个与非门、 CC4012×3(74LS20)4脚与非门、 CC4030(74LS86)共有4个异或门、 CC4081(74LS08)共有4个与门、 74LS54×2(CC4085)与或非门(下图)、 CC4001 (74LS02) 共有4个或非门。
四、实验内容1、设计一个一位全加器,要求用异或门、与门、或门组成。
2、设计一位全加器,要求用与或非门实现。
从1和2中任选一个来做。
要求按本文所述的设计步骤进行,直到测试电路逻辑功能符合设计要求为止。
EDA技术与VHDL实验指导书电气中心实验室编制2011-9前言《EDA技术与VHDL实验指导书》是与理论课程《EDA技术与VHDL》配套开出的,是电子信息工程等专业的一门专业选修课程,对电子信息工程等专业的学生具有非常重要的作用。
本实验课与理论课同时进行,与理论课有着较密切的联系。
因此学生在做本实验之前必须具备1.一定的C语言基础;2.电路与电子技术的基础知识;3.计算机辅助设计的基本操作能力;4.理论联系实践的自学能力。
学生学习完本实验课后,应具有1.能熟练使用Quartus II等EDA开发工具软件;2.掌握CPLD/FPGA芯片的基本使用方法,能用现代数字系统的设计方法进行基本的数字系统设计;3.掌握图形编辑和VHDL文本编辑两种设计方法,重点是VHDL文本编辑;4.具备基本的开发能力,为后续学习打下坚实的基础等方面能力。
从70 年代第一片可编程逻辑器件PROM的诞生到现在的CPLD/FPGA,数字系统的设计发生了本质的变化。
基于CPLD/FPGA和EDA(电子设计自动化)工具进行数字系统的设计与开发。
它代表了数字电信领域的最高水平,给数字电路的设计带来了革命性的变化。
从传统的对电路板的设计到现在的基于芯片的设计,使得数字系统设计的效率大大提高,产品更新速度大大加快,设计周期大大变短。
所以本科生学习本课程有着非常重要的意义。
本实验不同于其它实验,其实验手段和实验方法都有重大的变化,要求实验前提交预习报告,检查通过后方可实验,设计与综合实验环节。
本实验是在PC 平台上,用原理图或文本进行输入,然后进行编译,通过之后再进行波形仿真,如有缺陷,对源文件进行修改。
利用ISP技术、采用EDA工具、应用PLD 器件,在PC及EDA开发平台上进行。
实验一组合逻辑电路设计实验学时:3学时实验类型:验证实验要求:必做一、实验目的1.熟练掌握QuartusII开发工具软件;2.掌握门电路VHDL语言程序设计方法;3.掌握选择器VHDL语言程序设计方法;4.掌握加法器VHDL语言程序设计方法;5.熟悉VHDL编程的基本方法;二、实验原理1.二输入与门二输入与门是我们数字电路中的一个基础逻辑门电路,是最基本的逻辑门电路之一,也是最简单的逻辑门之一。
实验三组合逻辑电路实验分析与设计1、掌握组合逻辑电路的分析方法与测试方法。
2、能用指定芯片完成组合逻辑电路的设计。
3、用实验验证所设计的逻辑电路的逻辑功能。
4、熟悉各种集成门电路及正确使用集成门电路。
二、设计要求1、根据题意列出输入、输出真值表。
2、利用卡诺图化简,写出最简的逻辑函数表达式。
3、利用指定门电路(如74LS00等)实现逻辑功能。
三、实验原理1、组合电路是最常见的逻辑电路,可以用一些常用的门电路来组合成具有其它功能的门电路。
2、合电路的分析是根据所给的逻辑电路,写出其输入与输出之间的数表达式或真值表,从而确定该电路的逻辑功能。
3、组合电路设计过程是在理想情况下进行的,即假设一切器件均没有延迉效应,但实际上并非如此,信号通过任何导线或器件都需要一断响应时间,由于制造工艺上的原因,各器件延迟时间的离散性很大,这就有可能在一个组合电路中,在输入信号发生变化时,有可能产生错误的输出。
这种输出出现瞬时错误的现象称为组合电路的冒险现象(简称险象)。
四、实验内容1、分析、测试用与非门74LS00组成的半加器的逻辑功能图3-1由与非门组成的半加器电路(1) 写出图3-1的逻辑表达式(2)(3) 根据图输入插口。
按下表的要求进行逻辑状态的测试,并将结果填入表中,同时与上面真值表进行比较,两者是否一致。
2、分析、测试用异或门74LS86和与非门74LS00组成的半加器逻辑电路。
图3-2半加器电路根据半加器的逻辑表达式可知,半加器和S是A、B的异或,而进位C是A、B的相与,故半加器可用一个集成异或门和二个与非门组成,如图3-2所示。
测试方法同1.(3)项,将测试结果填入自拟表格中,并验证逻辑功能。
五、实验预习要求1、学习组合逻辑电路的分析方法。
2、学习用与非门和异或门等构成半/全加器的工作原理。
3、学习用指定逻辑门电路构成组合逻辑电路的方法。
六、实验报告1、整理实验数据、图表,并对实验结果进行分析讨论。
2、总结组合电路的分析与测试方法。
《可编程控制器》实验报告成绩评定专业机电一体化班级111121学生姓名学号指导老师实验地点二〇一三年五月二十日实验一认识FXGP/WIN-C软件与PLC一.实验目的:1.熟悉FXGP的操作界面2.熟悉FXGP菜单的显示和操作方式3.注意观察FXGP系统提供的信息4.—学会设置路径、新建程序5.初步学习用指令表、梯形图方式编制PLC程序6.理解指令表和梯形图的对应关系7.掌握FXGP中的程序传送到PLC的方法8.掌握将PLC中的程序传送到FXGP的方法9.掌握校对FXGP和PLC中的程序的方法10.掌握调试程序的方法二. 实验内容1.设置文件路径为C:\PLC12.进入FXGP软件3.新建一个序程序,指定正确的PLC类型,程序名称【untit101】4.用梯形图形式编辑如下一段程序5.通过转换,在指令表形式下阅读程序6.程序传送到PLC(观察端口设置)7.用监控/测试调试程序三.实验报告1.记录设置的文件路径(以后所有用户文件都在该路径下存取)。
2.观察实验现象,认真记录实验中发现的问题错误、故障及解决方法。
实验二定时器与计数器的应用一、实验目的1 、进一步熟悉可编程控制器及FXGP/WIN-C 编程软件的使用方法。
2 、进一步熟悉掌握基本指令的功能。
二、实验内容1、用微机将程序送入PLC并运行。
2、对定时器,计数器进行监控。
3 、分析运行结果并完成时序图。
三、操作步骤1、用FXGP/WIN-C软件将以下程序输入到PLC中去,观察运行结果,比较是否与自己预习时分析相一致。
2、运行程序并完成下列时序图。
四、实验报告1 、写出实验的操作步骤及观察结果2 、完成上面时序图实验三三相交流电机的PLC控制一、实验目的1、掌握PLC控制强电电器电路的连接方法。
2、掌握交流电机的点动、长动及自动正7反转的启动电路。
二、实验内容及步骤1、点动、长动启动电路实验(1)电路说明如图3-1(a)为PLC控制电机的点动控制电路。
实验三组合逻辑电路的设计(一)一、实验目的1.掌握用SSI器件设计组合逻辑电路的方法;2.熟悉各种常用MSI组合逻辑电路的功能与使用方法;3.掌握多片MSI组合逻辑电路的级联、功能扩展;4.学会使用MSI逻辑器件设计组合电路;5.培养查找和排除数字电路常见故障的初步能力。
二、实验器件1.74LS00 四二输入与非门74LS20 双四输入与非门2.74LS138 三线—八线译码器74LS139 双二线—四线译码器三、实验原理组合逻辑电路是最常见的逻辑电路,其特点是在任何时刻电路的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。
组合逻辑电路的设计,就是如何根据逻辑功能的要求及器件资源情况,设计出实现该功能的最佳电路。
在采用小规模器件(SSI)进行设计时,通常将函数化简成最简与—或表达式,使其包含的乘积项最少,且每个乘积项所包含的因子数也最少。
最后根据所采用的器件的类型进行适当的函数表达式变换,如变换成与非—与非表达式﹑或非—或非表达式﹑与或非表达式及异或表达式等。
在数字系统中,常用的中规模集成器件(MSI)产品有编码器﹑译码器﹑全加器﹑数据选择/分配器﹑数值比较器等。
用这些功能器件实现组合逻辑函数,基本采用逻辑函数对比方法。
因为每一种中规模集成器件都具有某种确定的逻辑功能,都可以写出其输出和输入关系的逻辑函数表达式。
在进行设计时,可以将要实现的逻辑函数表达式进行变换,尽可能变换成与某些中规模集成器件的逻辑函数表达式类似的形式。
下来我们介绍一下使用中小规模器件设计组合逻辑电路的一般方法。
四、组合电路设计原则及其步骤组合电路的设计是由给定的的逻辑功能要求,设计出实现该功能的逻辑电路,设计过程大致按下列步骤进行:(1)分析设计要求,把用文字描述的形式的设计要求抽象成输入、输出变量的逻辑关系;(2)根据分析出的逻辑关系,通过真值表或其他方式列出逻辑函数表达式;(3)根据题目提供给你的芯片,将逻辑函数化简到所需要的函数式;(4)画出逻辑电路图或电路原理图;对于MSI组合逻辑电路的设计是以所用MSI个数最少、品种最少,同时MSI间的连线也最少作为最基本的原则。
华中科技大学《电子线路设计、测试与实验》实验报告实验名称:SSI组合逻辑电路设计实验(软件)院(系):自动化学院实验成绩:指导教师:汪小燕2014 年 4 月24 日一.实验目的1.掌握用SSI(小规模数字集成电路)实现简单组合逻辑电路的方法。
2.掌握简单数字电路的安装于调试技术。
3.进一步熟悉数字万用表、示波器等仪器的使用办法。
4.熟悉用Verilog HDL描述组合逻辑电路的方法,以及EDA仿真技术。
二.实验元器件芯片74HC00 2片,74LS04 一片;若干导线,计算机;QuartusⅡ9.1集成开发环境;面包板;可编程器件实验板;专用的在系统编程电缆。
三.实验原理及参考电路组合逻辑电路的设计流程组合逻辑电路的设计步骤如下图,先根据实际的逻辑问题进行逻辑抽象,定义逻辑状态的含义,在按照给定事件因果关系列出逻辑关系真值表。
然后用给定的器件实现简化后的逻辑表达式,画出逻辑电路图。
QuartusⅡ9.1在设计好电路之后,就可以根据设计的电路,就可以在QuartusⅡ9.1集成开发环境下,通过Verilog HDL语言编程,然后生成相应的波形文件执行仿真,最后再把程序下载到老师给的DE0板子上去,从而通过板子上LED灯的亮和不亮来确定输出的高低电平。
插板在做完仿真之后,就可以根据设计的逻辑图选择相应的芯片进行插板,通过给不同输入高低电平组合来测输出电平的高低,从而检测是否符合实验要求。
四.实验内容全加器/全减器 根据给定的器件,设计一个全加器/全减器电路,使之既能实现1位加法运算又能实现1位减法运算。
当控制变量M=0时,电路实现加法运算;当M=1时,电路实现减法运算。
其框图如下所示,图中,00A B 、 分别为被加(减)数和加(减数),0S 为相加(减)的结果,0C 为进(借)位。
一、 首先,按照组合逻辑电路的设计流程,写出其真值表如下:M0A0B1C -0S0C0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 1 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 0 0 1 0 0 1 1 1 1 0 1 0 1 1 1 0 1 1 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 1 0 0 0 111111二、根据真值表,。
数字电子技术教学大纲一、说明1、课程的性质和内容本课程是高级技工学校电气自动化专业的专业课,主要内容包括:数字逻辑基础、组合逻辑电路、时序逻辑电路、555时基电路与石英晶体多谐振荡器、数模与模数转换、半导体存储器、可编程逻辑器件的应用。
2、课程的任务和要求本课程的主要任务是使学生掌握数字电子技术的基本理论,具有分析数字电路的能力,能利用常用仪器和计算机软件对数字电路进行编程、仿真和测试,为学习其他专业课及生产实习提供必要的知识。
通过本课程的学习,学生应达到以下几个方面的要求:(1)掌握数字逻辑基础知识。
(2)掌握数字电路的工作原理,具有数字电路的分析、设计、组装和测试能力。
(3)了解数字电路在自动化控制系统中的应用。
(4)具有建立简单数字电路应用系统的能力。
3、教学中应注意的问题(1)由于在生产设备自动化控制中全部应用大规模集成电路,所以学生要重点掌握数字电路的基本逻辑功能,对中小规模集成电路芯片的使用方法了解即可。
(2)本课程适合采用任务驱动法进行教学,以任务为核心,将相关技能和知识在完成任务的过程中传授和讲解,形成理实一体化的教学模式,有利于培养学生的专业能力。
二、学时分配表三、课程内容及要求课题一数字逻辑基础教学要求1.了解数制与编码的基本概念。
2.掌握二进制数的运算。
3.掌握二进制数转换为八进制数、十进制数和十六进制数的方法。
4.了解格雷码的应用。
5.掌握基本逻辑与逻辑门电路的概念。
6.了解逻辑定律的应用。
7.了解集成门电路的结构和集电极开路门、三态门电路的逻辑功能。
8.掌握逻辑代数定律和化简逻辑电路的方法。
教学内容任务一数制任务二代码任务三基本逻辑与逻辑门电路任务四集成门电路任务五逻辑代数定律与逻辑函数化简教学建议1.由于生产实际中控制的位数较多,有必要让学生接触多位二进制数。
2.重点介绍十六进制数以及二进制数与十六进制数转换的方法。
3.让学生了解8421BCD码与格雷码的区别以应用。
实验三组合电路设计(一)
一、实验目的
1、掌握设计逻辑组合电路的方法。
2、学会利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析。
3、能够学会通过CPLD开发实现组合逻辑电路的功能。
二、实验内容
(1)多数表决器电路设计
要求:
a.当输入A,B,C,D有3个或3个以上为1时,输出F为1,输入为其他状态是输出为0。
b.写出实验内容的真值表及逻辑表达式。
c.用MAX+plus2进行仿真。
d.将编好的程序下载到CPLD芯片里,观测结果。
(2)代码转换电路设计
要求:
a.输入为8421BCD,输出为余3码。
b.写出实验内容及代码转换表,写出逻辑表达式。
c.用MAX+plus2进行仿真。
d.将编好的程序下载到CPLD芯片里,观测结果。
三、实验逻辑功能分析及预习情况
(1)多数表决器电路设计
○1
○2、根据真值表列出逻辑表达式F=DBA+CBA+DCB+DCA; (2)代码转换电路设计
1
四、实验过程
(1)启动MAX+plusII软件;(2)创建一个新工程;
1)多数表决器电路设计
○1启动文本编译器;
○2编译VHDL语言程序为
Library ieee;
Use ieee.std_logic_1164.all;
Entity xuanzeqi is
Port(A,B,C,D:in std_logic;
F:out std_logic );
End;
Architecture rel of xuanzeqi is
signal s1,s2,s3,s4:std_logic;
Begin
s1<=A and B and C;
s2<=A and B and D;
s3<=B and C and D;
s4<=A and C and D;
F<=s1 or s2 or s3 or s4;
End;
○3启动波形图编译器;
○4时间分析图
○5利用真值表验证所设电路的逻辑功能;○6经过验证保存仿真原理图。
2)代码转换电路设计
○1启动文本编译器;
○2编译VHDL语言程序为
Library ieee;
Use ieee.std_logic_1164.all;
Entity yusanma is
Port(a:in std_logic_vector(3 downto 0);
y:out std_logic_vector(3 downto 0));
End;
Architecture rel_1 of yusanma is
Begin
process(a)
Begin
case a is
when "0000"=>y<="0000";
when "0001"=>y<="0001";
when "0010"=>y<="0010";
when "0011"=>y<="0011";
when "0100"=>y<="0100";
when "0101"=>y<="0101";
when "0110"=>y<="0110";
when "0111"=>y<="0111";
when "1000"=>y<="1000";
when "1001"=>y<="1001";
when others=>y<="ZZZZ";
end case;
end process;
End;
○3启动波形图编译器;
○4时间分析图
○5利用真值表验证所设电路的逻辑功能;
○6经过验证保存仿真原理图。
五、实验感受
通过本次实验我掌握了设计逻辑组合电路的方法。
同时我更加熟悉了利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析。
使我对MAX+plusII有了进一步的了解,通过本次实验我更加熟悉了此软件的基本操作方法。
同时让我了解到硬件可以用软件来完成,此软件的模拟仿真给我们学习数字电路有很大的帮助,形象的表达了信号的输出。
我也学会了利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析,并且我学会了通过CPLD开发实现组合逻辑电路的功能。