当前位置:文档之家› 模拟电子技术实验指导书使用

模拟电子技术实验指导书使用

模拟电子技术实验指导书使用
模拟电子技术实验指导书使用

模拟电子技术

实验指导书

实验要求

1.实验前必须充分预习,完成指定的预习任务。预习要求如下:

1)认真阅读实验指导书,分析、掌握实验电路的工作原理,并进行必要

的估算。

2)完成各实验“预习要求”中指定的内容。

3)熟悉实验任务。

4)复习实验中所用各仪器的使用方法及注意事项。

2.使用仪器和实验箱前必须了解其性能、操作方法及注意事项,在使用时应严格遵守。

3.实验时接线要认真,相互仔细检查,确定无误才能接通电源,初学或没有把握应经指导教师审查同意后再接通电源。

4.模拟电路实验注意:

1)在进行小信号放大实验时,由于所用信号发生器及连接电缆的缘故,

往往在进入放大器前就出现噪声或不稳定,有些信号源调不到毫伏以下,实验时可采用在放大器输入端加衰减的方法。一般可用实验箱中电阻组成衰减器,这样连接电缆上信号电平较高,不易受干扰。

2)做放大器实验时如发现波形削顶失真甚至变成方波,应检查工作点设

置是否正确,或输入信号是否过大,由于实验箱所用三极管h fe较大,特别是两级放大电路容易饱和失真。

5.实验时应注意观察,若发现有破坏性异常现象(例如有元件冒烟、发烫或有异味)应立即关断电源,保持现场,报告指导教师。找出原因、排除故障,经指导教师同意再继续实验。

6.实验过程中需要改接线时,应关断电源后才能拆、接线。

7.实验过程中应仔细观察实验现象,认真记录实验结果(数据波形、现象)。所记录的实验结果经指导教师审阅签字后再拆除实验线路。8.实验结束后,必须关断电源、拔出电源插头,并将仪器、设备、工具、导线等按规定整理。

9.实验后每个同学必须按要求独立完成实验报告。

实验1 常用电子仪器仪表的使用

一、实验目的

1. 了解常用电子仪器的功能、主要技术指标和面板上各旋钮的作用。

2. 学习常用电子仪器仪表的正确使用方法。

二、实验器材

1、双踪示波器

2、函数信号发电器

3、交流毫伏表

4、可调直流稳压源

5、万用表

三、实验内容及步骤

在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、交流毫伏表及频率计等。它们和万用表一起,可完成对模拟电子电路的静态和动态工作情况的测试。实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图所示。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线。

1.示波器

示波器的应用很广泛,它可以用来测试各种周期性变化的电信号波形,可测量电信号的幅度、频率、相位等。示波器的种类很多,在本书实验主要使用双踪示波器,其原理和使用详细参见相关资料,现着重指出以下几点:

1)寻找扫描光迹点

在开机半分钟后,如仍找不到光点,可调节亮度旋钮,并按下“寻迹”板键,从中判断光点位置,然后适当调节垂直(↓ ↑ )和水平()移位旋钮,将光点移至荧光屏的中心位置。

2)为显示稳定的波形,需注意示波器面板上的下列几个控制开关(或旋钮)的位置。

a、“扫描速率”开关(t/div)——它的位置应根据被观察信号的周期来确

b、“触发源选择”开关(内、外)——通常选为内触发。

c、“内触发源选择”开关(拉YB)——通常置于常态(推进位置)。

此时对单一从YA或YB输入的信号均能同步,仅在需双路同时显示时,为比较两个波形的相对位置,才将其置于拉出(拉YB)位置,此时触发信号仅取自YB,故仅对由YB输入的信号同步。

d、“触发方式”开关——通常可先置于“自动”位置,以便找到扫描线开波形,如波形稳定情况较差,再置于“高频”或“常态”位置,但必须同时调节电平旋钮,使波形稳定。

3)示波器有五种显示方式

属单踪显示有“YA”、“YB”、“Y A+YB”;属双踪显示有“交替”与“断续”。作双踪显示时,通常采用“交替”显示方式,仅当被观察信号频率很低时(如几十赫兹以下),为在一次扫描过程中同时显示两个波形,才采用“断续”显示方式。

4)在测量波形的幅值时,应注意Y轴灵敏度“微调”旋钮置于“校准”位置(顺时钟旋到底)。在测量波形周期时,应将扫描速率“微调”旋钮置于“校准”位置(顺时钟旋到底)。

2.函数信号发生器

按需要可输出正弦波、方波、三角波三种信号波形。输出信号幅度可连续调节,幅度可以调节到mV 级,输出信号频率可进行调节,频率范围较广,上限频率可达1MHz以上。函数信号发生器作为信号源,注意它的输出端不允许短路。由于模电实验是对低频小信号的研究,信号源最好用音频信号源,实验箱自带的简易信号源精度有限,只能定性的分析实验现象,在做实验时最好自备信号源。以后做实验时只说明输入信号,不再说明如何调节,相关信号发生器的调节参看相关信号源操作手册。

3.数字万用表

可测量直流交流电压,电流,电阻等功能任何型号万用表都可以,用数字万用表便于读数,由于本实验箱测量交流电压时一般万用表频率规格不能满足,故要用交流毫伏表。另外用万用表测电流时先估计电流的最大值,调节最大档来测量电流,以免烧坏表内的保险管,然后在测量时逐挡减少量程。

4.交流毫伏表

交流毫伏表只能在其工作频率范围内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置处,然后在测量时逐挡减少量程。交流毫伏表接通电源后,将输入端短接,进行调零,然后断开短路线,即可进行测量。本实验箱的工作频率不高,故任何毫伏表都可选用。

四、实验报告要求

1. 总结如何正确使用示波器、信号发生器、直流稳压电源、交流毫伏表及万用电表。

2、函数信号发生器输出小信号时,应采取什么措施?

3、收获、体会、建议。

五、预习要求及思考题

1、说明使用示波器观察波形时,为达到下列要求,应调节哪些旋钮?

1)波形清晰且亮度适中。

2)波形在荧光屏中央大小适中。 3)波形稳定。

2、说明用示波器观察正弦波电压,若荧光屏上分别显示图1-3所示的波形,是哪些旋钮位置不对?应如何调节?

图1-3

3、说明函数信号发生器面板上的0dB 、20dB 、40dB 、60dB 在控制输出电压时的合理运用。当该仪器输出电压(有效值)最大为6V ,若需要输出电压为100mV 时,衰减应置于多少“dB ”合适?

4、为什么当电阻R 1=51K Ω,R 2、R 3等于100K Ω时,用10V 档测图1-2中电压AB U 、BC

U 误差较大?

实验2 单级交流放大电路

一、实验目的

1.熟悉电子元器件和模拟电路实验箱,

2.掌握放大电路静态工作点的调试方法及其对放大电路性能的影响。

3.学习放大电路的动态性能。 二、实验仪器

1.双踪示波器 2.信号发生器

3.模拟电子技术实验箱

4.数字式(或指针式)万用表 5.交流毫伏表 三、预习要求

1.三极管及单管放大电路工作原理。

2.放大电路静态和动态测量方法。 四、实验原理

实验电路如图3-1-1,电路参数如下:电路供电电源E C =12V ;输入输出耦合电容C 1=C 2=10uF /15V 。三极管T 为3DG 型管,电流放大系数50~30=β。

图3-1-1为基本共射极放大电路,欲使该电路起到对低频信号的放大作用,必须使电路有一个合适的静态工作点,否则将会产生饱和或截止失真。

五、实验内容及步骤

(一)按图3-1-1接线,检查无误后接通电源

(二)测试放大电路的静态工作点Q、电压放大倍数A v及输出波形的影响

1.学习对静态工作点的测量方法

将交流输入端对地短路,输出端不接负载,调节R W1为某一合适数值(使V C=4~6V),测量静态工作点,即分别测出晶体三极管各极对地电压V C、V B、V E的值,将测量值填入表3-1-1中,然后按下列步骤计算静态工作点。

(1)计算基极静态电流I B

断开电源及R B与晶体三极管基极的连线,用万用表测出R B(=R p+R b1)的值,将测量值填入表3-1-1中。按下面公式计算基极静态电流I B的值

B B

C B R V

E I -

=(2)计算基极电流I C

C1C

C C R V

E I -

=(3)计算晶体三极管电流放大系数

B

C

I I β≈

(4)计算压降:V CE =V C -V E ,V BE =V B -V E

(5)将上述计算值即通过测量相关量并经计算得到的静态工作点参数填入表3-1-1中。 2.估算并实测放大电路的电压放大倍数A v

(1)调整R W1 使 V C =4~6V ,去掉交流输入端对地短路线。

(2)将信号发生器的频率调至f=1KHZ 、输出信号幅度us=500mv (此时ui=5mV )。随后接入单级放大电路的输入端。

(3)用示波器观测输出端信号V O1的波形,若无失真(若有失真,调整R W1直到使输出端信号V O1的波形正常为止),去掉示波器换上毫伏表测量输出电压 V O1的值。

(4)计算实测电压放大倍数

i1

O1

V V V A -

= (5)估算电压放大倍数,估算公式按下式计算

be

C

V βR A r -

= 其中:be E 26200(1β)

I r =++ C

C

C C E R V E I I -=≈

(6)将以上相关参数填入表3-1-2

3.观察R L 对放大电路静态工作点、电压放大倍数及输出波形的影响

(1)将电路状态V C 、V i1调到表3-1-2所示值。

表3-1-1

(2)将R L =5.1K Ω接入放大电路输出端,观测R L 接入前后波形的变化。

(3)测量接入R L 后电路静态工作点及放大倍数,填入表3-1-4,并与表3-1-2比较。

注:表3-1-4中计算值的相关计算公式

C C

C C E R V E I I -=

≈ be E 26200(1β)I r =++

实测i1O1

V V V A -

= 估算be

L C V )R β(R A r -= 4.观察静态工作点对输出波形的影响

(1) 保证上述静态工作点不变,适度增加信号发生器输出电压,直至输出波形的正或负峰值刚要出现削波失真。记录此时的V O1波形,并保持V i1幅值不变。

(2)按表3-1-3给定条件调节R W1,记录输出波形的失真类型(饱和失真或截止失真),并测量V CEQ 。 五、实验报告要求 1.整理数据表格。

2.用实测的β数值估算电压放大倍数,并与测量值相比较,分析产生误差的原因。 3.分析静态工作点对输出波形的影响。 六、预习要求及思考题

1.预习共射基放大电路的工作原理及电路各元件的作用。 2.预习直流负载线和交流负载线的概念。

3.如何测量R B 的数值?不断开与其极的连线行吗?为什么?

4.负载电阻变化对放大电路静态工作点有无影响?对电压放大倍数有无影响? 5.若在本实验电路输出端出现下列输出电压失真波形,分析是什么类型的失真?是什么原因造成的?如何解决?

6.为什么减小R L 值有利于减小饱和失真,而截止失真随R L 的减小反趋严重?

实验3 负反馈放大电路

一、实验目的

1.研究负反馈对放大电路性能的影响。

2.掌握负反馈放大电路性能的测试方法。 二、实验仪器

1.双踪示波器。

2.音频信号发生器。

3.数字万用表。 三、预习要求

1.认真阅读实验内容要求,估计待测量内容的变化趋势。

2.图

3.1电路中晶体管β值为40,计算该放大电路开环和闭环电压放大倍数。 四、实验内容

1.负反馈放大电路开环和闭环放大倍数的测试 (1)开环电路

图 3.1反馈放大电路

① 按图3.1接线,R F 先不接入,使放大电路处于开环状态。调整R P1使U C1=6V ,调整R

P2

a

b

c

使U C2=7V 。记录此时三极管V1、V2的工作点。 ② 在输入端A 接入幅值500mV f=lkHz 的正弦波,经51K 电位器(调成25.5K )、R 2衰减

后,U i 约为1mv 。调整接线和参数使输出不失真且无自激振荡。 ③ 表3.1要求进行测量并填表。

④根据实测值计算开环放大倍数和输出电阻r o ,其中L O

O

OC R U U U )(

r o -=。

(2)闭环电路

①接通R F ,引入反馈回路使放大电路处于闭环,此时三极管工作点应与(1)相同。 ②在输入端A 接入幅值2V 、f=lkHz 的正弦波,经R 1、R 2衰减后,U i 约为20mv 。按表3.1

要求测量并填表,计算A uf 和开环闭环时的输出电阻。

④ 据实测结果,验证A uf ≈F

1,并研究负反馈对放大电路各方面的影响。

表3.1

2. (1)断开反馈电阻R F ,将实验电路开环,逐步加大U i 的幅度,使输出信号出现失真(注意不

要过份失真)记录失真波形幅度。

(2) 接入反馈电阻R F ,将电路闭环,观察输出情况,并适当增加U i 幅度,使输出幅度接近开环时失真波形幅度。(提高档)

(3)若R F =3k 不变,不接入R 1、R 2和信号源,将R F 接入V1的基极,会出现什么情况?实验

验证之。

(4)画出上述各步实验的波形图。 3.测放大电路频率特性(提高档)

(1)将图3.1电路先开环,选择U i 适当幅度(频率为1kHz)使输出信号在示波器上有较大不失真正弦波显示。

(2)保持输入信号幅度不变逐步增加频率,直到波形减小为原来的70%,此时信号频率即

为放大电路f H 。

(3)条件同上,但逐渐减小频率,测得f L 。

(4)将电路闭环,重复1~3步骤,并将结果填入表3.2。(实验箱内置信号源产生信号频

率低,观察不到)

五、实验报告:

1.将实验值与理论值比较,分析误差原因。

2.根据实验内容总结负反馈对放大电路的影响。

实验4 直流差动放大电路

一、实验目的

l.熟悉差动放大电路工作原理。

2.掌握差动放大电路的基本测试方法。

二、实验仪器

1.双踪示波器

2.数字万用表

3.信号源

三、预习要求

1.计算图5.1的静态工作点(设r bc=3K,β=100)及电压放大倍数。

2.在图5.1基础上画出单端输入和共模输入的电路。

四、实验内容及步骤

实验电路如图5.1所示

图5.1 差动放大原理图

1.测量静态工作点,

(1)调零

按图连线,将输入端U i1和U i2接地,接通直流电源+12V 、-12V ,调节电位器R Pl 使U o =0。 (2)测量静态工作点

测量V1、V2、V3各极对地电压填入表5.1中

表5.1

2.测量差模电压放大倍数。

在输入端加入直流电压信号U id =土0.1V 按表5.2要求测量并记录,由测量数据算出单端和双端输出的电压放大倍数。注意:由于实验箱直流电压源有输出电阻,所以要先将直流电压源OUTl 和OUT2分别接入U i1和U i2端,然后调节直流电压源的调节电位器,使其输出为+0.1V 和-0.1V 。 3.测量共模电压放大倍数。

将输入端U i1和U i2短接,接入直流电压源,分别输入+0.1V 和-0.1V ,分别测量并填入表5.2。由测量数据算出单端和双端输出的电压放大倍数。进一步算出共模抑制比CMRR=

c

d

A A 。 表5.2

4.在实验板上组成单端输入的差放电路进行下列实验。

(1)在图1中将b 2接地,组成单端输入差动放大器,从b 1端输入直流信号U=±0.1V ,测量单端及双端输出,填表 5.3记录电压值。计算单端输入时的单端及双端输出的电压放大倍数。并与双端输入时的单端及双端差模电压放大倍数进行比较。

表5.3

(2)从b 1端加入正弦交流信号U i =50mV ,f=1kHz ,分别测量、记录单端及双端输出波形,注

意输出波形和输入的相位关系,填入表 5.3计算单端及双端的差模放大倍数。再分别加入三角波和方波,幅值频率同上,重复以上步骤。

(注意:输入交流信号时,用示波器监视U c1、U c2波形,若有失真现象时,可减小输入电压值,使U c1、U c2都不失真为止)

五、实验报告

1.根据实测数据计算图5.1电路的静态工作点,与预习计算结果相比较。

2.整理实验数据,计算各种接法的A d,并与理论计算值相比较。

3.计算实验步骤3中A C和CMRR值。

4.总结差放电路的性能和特点。

实验5 比例求和运算电路

一、实验目的

1.掌握用集成运算放大电路组成比例、求和电路的特点及性能。

2.学会上述电路的测试和分析方法。

二、实验仪器

1.数字万用表

2.示波器

3.信号发生器

三、预习要求

1.计算表6.1中的U O和A f

2.估算表6.3的理论值

3.估算表6.4、表6.5中的理论值

4.计算表6.6中的U O值

5.计算表

6.7中的U O值

四、实验内容

1.电压跟随电路(选做)

实验电路如图6.1所示。

图6.1 电压跟随电路

按表6.1连接电路,将+12V、-12V接入集成运放工作区,实验并测量记录。

表6.1

2.反相比例放大器

实验电路如图6.2所示。

图6.2 反相比例放大电路按表6.2内容实验并测量记录。

3.同相比例放大电路

电路如图6.3所示

按表6.4实验测量并记录。

图6.3 同相比例放大电路

4.反相求和放大电路。

实验电路如图6.4所示。

按表6.6内容进行实验测量,并与预习计算比较。

图6.4 反相求和放大电路

5.减法电路

实验电路为图6.5所示。按表6.7要求实验并测量记录。

图6.5 双端输入求和电路

表6.7

五、实验报告

1.总结本实验中5种运算电路的特点及性能。

2.分析理论计算与实验结果误差的原因。

实验6 RC 正弦波振荡电路

一、实验目的

1.掌握桥式RC 正弦波振荡电路的构成及工作原理。

2.熟悉正弦波振荡电路的调整、测试方法。

3.观察RC 参数对振荡频率的影响,学习振荡频率的测定方法。

二、实验仪器

1.双踪示波器

2.低频信号发生器

3.频率计

三、预习要求

1.复习RC 桥式振荡电路的工作原理。

2.试计算图6.1电路的振荡频率。

四、实验内容

1.按图11.1接线。

2.用示波器观察输出波形。

3.用频率计测上述电路输出频率。

图6.1

图6.3

4.改变振荡频率。

在实验箱上设法使文氏桥电容R 1=R 2=2K 。

注意:改变参数前,必须先关断实验箱电源开关再改变参数,检查无误后再接通电源。测

f 0之前,应适当调节2R P 使V O 无明显失真后,再测频率。 5.测定运算放大器放大电路的闭环电压放大倍数A uf

R1 1K R1 1K C1 0.01μ

C1 0.01μ

10K

先测出图6.1电路的输出电压V O值后,关断实验箱电源,保持2R P及信号发生器频率不变,断开图 6.1中"A”点接线,把低频信号发生器的输出电压接至一个1K的电位器上,再从这个1K电位器的滑动接点取V i接至运放同相输入端。如图11.3所示调节V i 使V O等于原值,测出此时的V i值。

五、实验报告

1.电路中哪些参数与振荡频率有关?将振荡频率的实测值与理论估算值比较,分析产生误

差的原因。

2.总结改变负反馈深度对振荡电路起振的幅值条件及输出波形的影响。

3.完成预习要求中第2、3项内容。

实验7 串联稳压电路

一、实验目的

1.研究稳压电源的主要特性,掌握串联稳压电路的工作原理。

2.学会稳压电源的调试及测量方法。

二、实验仪器

1.直流电压表

2.直流毫安表

3.示波器

4.数字万用表

三、预习要求

1.估算图7.1电路中各三极管的各点直流电压(设:各管的β=100,电位器R P滑动端处于

中间位置)。

2.分析图7.1电路,电阻R2和发光二极管LED的作用是什么?

3.画好数据表格。

图7.1

四、实验内容 1.静态调试

(1)看清楚实验电路板的接线,查清引线端子。

(2)按图7.1接线,暂时不接入负载R L ,即稳压电源空载。 (3)将+1.25V ~+15V 直流电源调到9V ,接到U I 端。 (4)调试输出电压的调节范围。

调节R P ,观察输出电压U O 的变化情况。记录U O 的最大和最小值。

2.动态测量

(1) 测量电源稳压特性。U I =9V ,U O =6V ,使稳压电路处于空载状态。调整直流电源的调节

电位器,改变U I 为8V 、1OV 。测量相应的U O 。以9V 时为基准,根据S=I

I O

O U U U U //??计

算稳压系数。

(2) 测量稳压电路的外特性

将Ui=9V ,空载时调R W 使U O =6V ,然后接入负载电阻R L ,改变负载电阻R L ,测量相应的U O 、I L 填入表7-3。

思考题:化?可以试一下。

B :调节R L 时,V3的发射极电位如何变化?电阻R 3、R L 两端电压如何变化?

C :如果把C 3去掉(开路),输出电压将如何?

D :这个稳压电源哪个三极管消耗的功率大?按实验内容2中的(3)接线。

五、实验报告

1.对静态调试及动态测试进行总结。

2.计算稳压电源内阻L

O I U r ??-

=0

及稳压系数S r 。 3.对部分思考题进行讨论。

实验3 两级交流放大电路(备用)

一、实验目的

1.掌握如何合理设置静态工作点。

2.学会放大电路频率特性测试方法。

3.了解放大电路的失真及消除方法。

二、实验仪器

1.双踪示波器。

2.数字万用表。

3.信号发生器,

三、预习要求

1.复习教材多级放大电路内容及频率响应特性测量方法。

2.分析图2.1两级交流放大电路。初步估计测试内容的变化范围。

四、实验内容

实验电路见图2.1

图2.1 两级交流放大电路

1.设置静态工作点

(1)按图接线,注意接线尽可能短,注意R P2的连接方式。

(2)静态工作点设置:第一级为增加信噪比,工作点尽可能低,U C1应在2V以下。第二级

工作点应保证在输出波形不失真的前提下幅值尽量大,U C2约7V。

(3)在输入A端接入频率为1kHz幅度为100mV的交流信号(为避免连接电缆传输失真,使

用实验箱上加衰减的办法,即信号源用一个较大的信号。例如100mV,在实验板上经R1、R2,100:l衰减电阻衰减,降为lmV),使U i1为1mV,观察U o1输出信号波形,再连

接U o1和U i2,观察U o2输出信号。此时信号很可能已经失真,可减少A端输入信号幅度到50mV,并适当调节R P2使输出信号不失真。

注意:如发现有寄生振荡,可采用以下措施消除:

①重新布线,尽可能走短线。

②在第一级放大电路增加直流负反馈电路。

③在三极管V1、V2的b、e之间加几p到几百p的电容。

④信号源与放大电路用屏蔽线连接。

2.按表2.l要求测量并计算,注意测静态工作点时应断开输入信号。

表2.1

L

4.测两级放大电路的频率特性

(1)将放大器负载断开,先将输入信号频率调到1kHz,幅度调到使输出幅度最大而不失

真。

(2)保持输入信号幅度不变,改变频率,按表2.3测量并记录。继续提高频率,找到上截

止频率f H,同样得到下截止频率f L。

(3)接上负载、重复上述实验,注意负载对上下截止频率的影响。

五、实验报告:

1.整理实验数据,分析实验结果。

2.画出实验电路的频率特性简图,标出f H和f L。

3.写出增加频率范围的方法。

实验7 RC正弦波振荡电路(备用)

一、实验目的

1.了解RC串并联振荡电路的组成与原理,及振荡条件。

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

模拟电子技术基础-教案

*******学院课程教案*** ~ ***学年第一学期 教学系(部) 教研室计科教研室 课程名称模拟电子技术基础 年级、专业、班级 主讲教师 职称 / 职务 使用教材

模拟电子技术基础课程说明 一、课程基本情况 课程类别:学科基础课 总学时:32学时 实验、上机学时:8学时 二、课程性质 本课程是计算机科学与技术专业的学科基础课,主要介绍常用半导体器件、基本放大电路、集成运算放大器及其应用、直流稳压电源等内容的工作原理。 三、课程的教学目的和基本要求 通过本课程的学习,使学生掌握模拟电路的基本原理及分析方法,学会常用电子仪器的使用,能应用这些基本概念和基本分析方法来分析工程实际中的模拟电路,为后续数字逻辑、计算机组成原理做铺垫,并具有一定的解决工程实际问题的能力。 四、本课程与其它课程的联系 先修课程:高等数学、电路基础(1)

模拟电子技术基础课程教案(1) 授课题目(教学章、节或主题):第一章半导体器件课时安排2学时授课时间第1周 教学目的和要求(分掌握、熟悉、了解三个层次): 1.掌握:模拟信号与数字信号的概念和二者的区别; 2.熟悉:本征半导体;杂质半导体;PN结;常用半导体器件; 3.了解:半导体基础知识以及初步认识常用半导体器件。 教学内容(包括基本内容、重点、难点): 1.基本内容:模拟信号与数字信号的概念;本征半导体;杂质半导体;PN结;初步认识常用半导体器件; 2.重点:模拟电子电路与数字电路的概念; 3.难点:对本征半导体、杂质半导体、PN结的理解。 讲课进程和时间分配: (1)课程介绍、导入模拟量与数字量的概念、半导体的概念;(20分钟) (2)本征半导体及其导电性能、杂质半导体及其导电性能;(30分钟) (3)PN结的形成及特性;(35分钟) (4)本章小结。(5分钟) 讨论、思考题、作业: 见课后习题 参考资料(含参考书、文献等): 李承,徐安静.模拟电子技术[M].北京:清华大学出版社.2014年12月 授课类型(请打√):理论课 讨论课□ 实验课□ 练习课□ 其他□ 教学方式(请打√):传统讲授 双语□ 讨论□ 示教□ 指导□ 其他□ 教学资源(请打√):多媒体 模型□ 实物□ 挂图□ 音像□ 其他□ 填表说明:每项页面大小可自行添减。

参考答案模拟电子技术实验指导书

实验一常用电子仪器的使用 一、实验目的 1?熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使 用方法。 2?学习使用低频信号发生器和频率计。 3?初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图 1 —1所示。接线时应注意,为防止外 界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 交流奄伏表直流稳压电源 图1—1模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。 通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值u m、周期T (或频率f) 和初相;脉冲信号的波形参数是幅值4、周期T和脉宽T P。幅值U、峰峰值U P-P和有效值都可表示正弦量 U m、 1 的大小,但用示波器测U P-P较方便(用万用表交流电压档测得的是正弦量的有效值U斗)。由于频率f=丄, V2 T 所以测出周期T,即可算得频率。矩形脉冲电压,可用周期T,脉宽T P和幅值Un三个参数来描述。T P与T 之比称为占空比。 三、实验内容和步骤 1 .检查示波器

模拟电子技术实验

实验2 单管放大电路 1.1 实验目的 (1) 熟悉电子元件和模拟电路实验箱。 (2) 掌握放大器静态工作点的调试方法及其对放大器性能的影响。 (3) 学习测量放大器Q点,A v,r i,r o的方法,了解共射极电路的特性。 (4) 学习放大器的动态性能。 1.2 实验仪器与设备 示波器,信号发生器,交流毫伏表,数字万用表,模拟/数字电路实验箱。 1.3 预习要求 (1) 熟悉分压式偏置放大器的工作原理,了解元器件参数对放大器性能的影响。 (2) 熟悉放大器的动态及静态测量方法。 1.4 实验内容与步骤 (一)、连接直流电路,测量静态工作点 1.连接直流电路 (1)用万用表判断实验元件(三极管、电解电容、电阻、电位器)及实验所用导线的好坏。 (2) 连接分压式偏置放大器的直流通路,电路如图1-1所示,将R W的阻值调到最大100K。 图1-1 分压式偏置单管放大器的直流通路

(3)调节直流稳压电源电压输出调节旋钮,使其输出+12V(方法:用万用表直流电压档监测直流稳压电源输出端口,调节旋钮使万用表显示+12 V) 2.调节静态工作点 接通稳压电源(方法:用红色导线连接直流稳压电源的正极与R W R C的公共点,用黑色导线连接直流稳压电源的负极与R B2 R E的公共点),调节R W使U CE=1/2 U CC,V BE=0.7V 测量晶体管各极对地电压U B、U C和U E,将测量结果和计算所得结果填入表1-1中。 U CE =U C-U E U BE =U B-U E I C = I E= U E /R E 表1-1 静态工作点实验数据 (二)、连接完整电路,测量动态参数 1.连接完整电路 图1-2 分压式偏置单管放大器原理图 注意:电解电容的极性。 3.电压放大倍数的测量 (1)接通函数信号发生器电源,调节函数信号发生器的频率调节旋钮和幅度调节旋钮,使函数信号发生器输出频率 f =1 kHz ,输出电压U S=10 mV (有效值)的交流信号(若输出不能达到10 mV,可调节输出衰减旋钮20~60 dB和幅度调节旋钮即可)。 注意:信号发生器输出交流信号的频率通过数码管显示即可读出来,输出交流信号的幅度必须使用晶体管毫伏表检测方可读出电压有效值。 (2)将信号发生器、示波器、晶体管毫伏表按图1-3接入。信号发生器的正极、示波

广西大学模拟电子技术实验答案汇总

实验一、 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1.为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程 开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2.读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的 示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1.时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋 钮,将时基线移至适当的位置。

《模拟电子技术实验》教学大纲

《模拟电子技术实验》教学大纲 课程中文名称(课程英文名称):模拟电子技术实验/Experiments of analog electron technology 一、课程编码:1021004006 二、课程目标和基本要求: 1、模拟电子技术实验是《模拟电子技术基础》课程的主要实践环节,是深化理论知识,培养实验技能,提高学生运用理论分析、解决实际问题的能力的重要教学和学习过程。 2、通过实验使学生充分认识到电子技术研究和发展的重要位置,以及它在物理学科应用中的重要意义。通过实验引导、启发学生解放思想、更新观念、摆正理论与实践的关系。 三、课程总学时: 30 学时(严格按教学计划时数)[理论: 0 学时;实验: 30 学时] 四、课程总学分: 1 学分(严格按教学计划学分) 五、适用专业和年级:物理教育学;2006级。 六、实验项目汇总表: 八、大纲内容:

实验一常用电子仪器的使用 [实验目的和要求] 1、学习电子电路实验中常用的电子仪器的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 [实验内容] 1、示波器的检查与校准; 2、用示波器观察和测量交流电压及周期; 3、用示波器测量直流电压; 4、用示波器测量相位; 5、毫伏表与数字万用表交流电压测量的比较。 [主要实验仪器与器材] 1、SS-7802示波器一台; 2、EM1642信号发生器一台; 3、DF1701直流电源一台; 4、DF2170毫伏表一台; 5、UT56数字万用表一只。 实验二、晶体管元件的认识和测量 [实验目的和要求] 1、掌握用万用表鉴别晶体管的性能; 2、了解晶体管特性图示仪的简单原理及使用方法,用晶体管特性图示仪测量特性曲线和参数; 3、绘制小功率晶体管的特性曲线,并运用特性曲线求参数。 [实验内容] 1、用数字万用表鉴别晶体三极管的性能; 2、XJ4810晶体管特性图示仪测量晶体管的特性曲线和参数。 [主要实验仪器与器材] 1、XJ4810晶体管特性图示仪; 2、UT56数字万用表; 3、晶体三极管(3A X31、901 4、9015)、稳压管。

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

2011.12.30(修改)电路与模拟电子技术实验指导书

电路与模拟电子技术 实验指导书 王凤歌 (修改于2011.12.30) 1

实验一直流网络定理 一、实验目的 1、加深对基尔霍夫和迭加原理的内容和适用范围的理解。 2、用实验方法验证戴维南定理的正确性。 3、学习线性含源一端口网络等效电路参数的测量方法。 4、验证功率输出最大条件。 二、实验属性(验证性) 三、实验仪器设备及器材 1、电工实验装置(DG011T、DY031T、DG053T) 2、电阻箱 四、实验要求 1. 所有需要测量的电压值,均以电压表测量的读数为准,不以电源表盘指示值为准。 2. 防止电源两端碰线短路。 3. 若用指针式电流表进行测量时,要识别电流插头所接电流表时的“ +、-”极性。倘若不换接极性,则电表指针可能反偏(电流为负值时),此时必须调换电流表极性,重新测量,此时指针可正偏,但读得的电流值必须冠以负号。 4.用电流插头测量各支路电流时,应注意仪表的极性,及数据表格中“ +、-”号的记录。 五、实验原理 1、基尔霍夫定律是集总电路的基本定律。它包括电流定律和电压定律。 基尔霍夫电流定律:在集总电路中,任何时刻,对任一节点,所有支路电流的代数和恒等于零。即 ∑I = 0 基尔霍夫电压定律:在集总电路中,任何时刻,沿任一回路内所有支路或元件电压的代数和恒等于零。即 ∑U = 0 2、迭加原理是线性电路的一个重要定理。 独立电源称为激励,由它引起的支路电压、电流称为响应,则迭加原理可简述为:在任意线性网络中,多个激励同时作用时,总的响应等于每个激励单独作用时引起的响应之和。 3、戴维南定理指出,任何一个线性含源一端口网络,对外部电路而言,总可以用一个理想电压源和电阻相串联的有源支路来代替,如图1-1所示,其理想电压源的电压等于原网络端口的开路电压U OC,其电阻等于原网络中所有独立电源为零值时的入端等效电阻R0。 图1-1 2

模拟电子技术课程教案

模拟电子技术课程教案 1. 本章基本要求:了解半导体基础知识;掌握二极管基础知识,掌握二极管应用;掌握双极型晶体管(BJT)工作原理,伏安特性曲线,BJT的各个参数;对比学习场效应管(FET)的原理和特性曲线. 2. 本章教学内容和学时: 1.1 半导体基础知识 2 1.2 半导体二极管 2 1.3 双极型三极管 2 1.4 场效应三极管 2 3.本章教学方式:课堂讲授,多媒体与板书相结合的方式 4.本章重点: PN结内部载流子的运动,PN结的特性,二极管的单向导电性,三极管的电流放大作用,场效应管的压控特性,以及三种器件的等效电路. 5. 本章难点:PN结的形成原理,器件的非线性伏安特性方程和曲线,场效应管的工作原理. 6.本章习题: 7,课时与内容安排:(8学时) 1-2节:介绍本课程目的,教学参考书,本课程的特点以及在学习中应该注意的事项和学习方法; 半导体基础知识,半导体,杂质半导体;PN结的形成过程.PN结的特点,几个特性.特别强调PN结的单向导电性,伏安特性方程的应用. 3-4节: 半导体二极管结构,基本特点,等效电路;稳压二极管工作原理,特点,电路分析. 5-6节:BJT结构,类型,电路符号,电流放大作用,放大模式下载流子运动过程,电流分配关系;BJT共射特性曲线(输入,输出);介绍BJT的极限参数. 7-8节:例题:器件选择,管脚判断;特别强调电流分配关系,特性曲线的应用.FET 分类介绍,以N沟道JFET为例介绍FET工作过程,JFET输出特性曲线,转移特性曲线,小结FET,BJT的特性差异,小结FET输出特性曲线,转移特性曲线.学习过程中强调FET,BJT的对比性学习. 第2章基本放大电路 1. 本章基本要求:正确理解放大器的一些基本概念,掌握BJT的简化模型及其模型参数的求解方法,掌握BJT的偏置电路,及工作点的估算方法;掌握BJT的三种基本组态放大器电路组成,指标,特点及分析方法;理解放大器的频率响应的概念和描述;熟悉放大器的低频,高频截止频率的估算;了解单管放大器的频率响应的分析,波特图的折线画法.掌握FET的偏置电路,工作点估算方法;了解FET的小信号跨导模型和FET的共源特点. 2. 本章教学内容和学时: 2.1 放大的概念和放大电路的主要性能指标 2 2.2 基本共射放大电路的工作原理 2 2.3 放大电路的基本分析方法 4 2.4 晶体管单管放大电路的三种基本接法 2 2.5 放大电路的频率特性 2 2.6 场效应管放大电路 2 3. 本章教学方式:课堂讲授,多媒体与板书相结合的方式 4. 本章重点:静态工作点及其稳定,微变等效电路分析法,共射,共集,共基三种

模拟电子技术实验指导

实验二常用电子仪器的使用 一、实验目的 (1)了解双踪示波器、低频信号发生器及晶体管毫伏表的原理框图和主要技术指标; (2)掌握用双踪示波器测量信号的幅度、频率; (3)掌握低频信号发生器、晶体管毫伏表的正确使用方法。 二、实验器材 双踪示波器DF4321型(或HH4310A型)低频信号发生器DF1641B型(或SG1631C型)晶体管毫伏表DF2175型 三、实验原理与参考电路 在电子技术实验里,测试和定量分析电路的静态和动态的工作状况时,最常用的电子仪器有示 示波器:用来观察电路中各点的波形,以监视电路是否正常工作,同时还用于测量波形的周期、幅度、相位差及观察电路的特性曲线等。 低频信号发生器:为电路提供各种频率和幅度的输入信号。 直流稳压电源:为电路提供电源。 晶体管毫伏表:用于测量电路的输入、输出信号的有效值。 万用表:用于测量电路的静态工作点和直流信号的值。 四、实验内容及步骤 1.低频信号发生器与晶体管毫伏表的使用 (1)信号发生器输出频率的调节方法 按下“频率范围”波段开关,配合面板上的“频率调节”旋钮可使信号发生器输出频率在0.3Hz~3MHz的范围改变。 (2)信号发生器输出幅度的调节方法 仪器面板右下方的Q9是信号的输出端,调节“输出衰减”开关和“输出调节”电位器,便可在输出端得到所需的电压,其输出为0-20V P-P的范围。 (3)低频信号发生器与毫伏表的使用 将信号发生器频率调至lkHz,调节“输出调节”旋钮,使仪器输出电压为5V P-P左右的正弦波,分别置分贝衰减开关于0dB、—20dB、—40dB、—60dB挡,用毫伏表分别测出相应的电压值。注意测量时不要超过毫伏表的量程,并且尽可能地把档位调到与被测量值相接近,以减小测量误差。 2.示波器的使用 (1)使用前的检查与校准 先将示波器面板上各键置于如下位置:“工作方式”位于“交替”(如果只观察一个波形可置于CHl通道或CH2通道);“极性”选择位于“+”;“触发方式”位于“内触发”;“DC,GND,AC"开关位于“AC”;“高频,常态,自动”开关位于“自动”位置;“灵敏度V/div"开关于“0.2V/div"档,“扫速t/div"开关于“0.2ms/div"档,亮度、辉度、位移、电平开关置中间位置,开启电源后,

模拟电子技术实验

实验一共射极单管放大电路的研究 1. 实验目的 (1)学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响; (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法; (3)熟悉常用电子仪器及模拟电路实验设备的使用。 2. 实验设备与器材 实验所用设备与器材见表1.1。 表1.1 实验4.1的设备与器材 序号名称型号与规格数量备注 1 实验台1台 2 双踪示波器0~20M 1台 3 电子毫伏表1只 4 万用表1只 5 三极管1只 6 电阻1kΩ/0.25W 1只R e 7 电阻 2.4kΩ/0.25W 2只R S、R c、R L 8 电阻20kΩ/0.25W 1只R b1、R b2 9 电阻500kΩ/0.25W 1只R b2 10 铝电解电容10μF/25V 2只C1、C2 11 铝电解电容50μF/25V 1只C e 3. 实验电路与说明 实验电路如图1.1所示,为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。安装电路时,要注意电解电容极性、直流电源正负极和信号源的极性。 图1.1 共射极单管放大器实验电路

I c/mA U ce/V u0波形失真情况管子工作状态 2.0 (5) 测量最大不失真输出电压的幅度 置R C=2.4kΩ,R L=2.4kΩ,调节信号发生器输出,使U s逐渐增大,用示波器观察输出信号的波形。直到输出波形刚要出现失真而没有出现失真时,停止增大U s,这时示波器所显示的正弦波电压幅度,就是放大电路的最大不失真输出电压幅度,将该值记录下来。然后继续增大U s,观察输出信号波形的失真情况。 5. 实验总结与分析 (1)用理论分析方法计算出电路的静态工作点,填入表1.2中,再与测量值进行比较,并分析误差的原因。 (2)通过电路的动态分析,计算出电路的电压放大倍数,包括不接负载时的A u、A us以及接上负载时的A u、A us。将计算结果填入表1.3中,再与测量值进行比较,并分析产生误差的原因。 (3)回答以下问题: ①放大电路所接负载电阻发生变化时,对电路的电压放大倍数有何影响? ②怎样用测量信号电压的方法来测量放大电路的输入电阻和输出电阻? (4)心得体会与其他。

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

模拟电子技术实验 教案

模拟电子技术实验教案 ·平顶山学院教案 20XX ~~ 20XX 学年第 1 学期 承担系部电气信息工程学院课程名称模拟电子技术实验授课对象 11电气、电子、测控,10物理授课教师张晓朋职称讲师教材版本电工电子实验与计算机仿真教程参考书 20XX年 9 月 3 日 平顶山学院模拟电子技术实验教案 模拟电子技术基础实验 实验一常用电子仪器的使用练习 [实验目的] 1、了解示波器、低频信号发生器、视频毫伏表及直流稳压电源的工作原理。 2、掌握常用电子仪器的使用方法。[实验仪器] 1、函数信号发生器; 2、双踪示波器; 3、交流毫伏表; [实验原理] 多种实验仪器之间按如图1-1所示。交流毫伏表直流稳压电源+ -屏蔽线U cc函数信号发生器屏蔽线被测电路 uiu0示波器屏蔽线图1-1 1、函数信号发生器

函数信号发生器按需要输出正弦波、方波、脉冲波三种信号波形。输出电压最大可达10VP-P。函数信号发生器的输出信号频率可以通过频率分档开关进行调节。 函数信号发生器作为信号源,它的输出端不允许短路。 2、示波器的使用 (1)用示波器测量正弦波的有效值 正弦波形在示波器屏幕上的显示方式如图1-2所示。如果荧光屏上信号波形的峰-峰值为Ddiv,Y轴灵敏度为/div,则所测电压的峰-峰值为: VP-P=/div×Ddiv 式中/div是示波器无衰减时Y轴的灵敏度,即每格20mV;D为被测信号在Y轴方向上峰-峰之间的距离,单位为格(div)。 (2)用示波器测量时间 时间测量时在X轴上读数,量程X轴的扫描速度开关“t/div”决定。 1 平顶山学院模拟电子技术实验教案 测量前对示波器进行扫描速度校准,测量时间过程中使该“微调”始终处于“校准”位置上。测量信号波形任意两点间的时间间隔。 B

模拟电子实验思考题及答案 学时

设备的使用 1、示波器的使用 0-20MHz范围内的信号都可测量。 三个校准旋钮顺时针拧到底; 五个按钮全要释放; 触发源要与输入通道一致;双通道输入时(DUAL),则触发源CH1和CH2都可; “LEVEL”旋钮的使用(波形水平移动,不稳定时); “垂直衰减旋钮”要合适,尤其是数值和波形的幅值相比小太多时,波形太大,出了屏幕,会看不到波形; Y轴校准方法; DC和AC档位的区别。 2、交流毫伏表的使用 测量10-2MHz正弦信号的有效值。频带比示波器小,比万用表大。 一定要选择合适的量程,否则误差大。比如:正弦信号Ui=1V,要选3V量程档,用30V的话,误差大! 数字万用表 万用表 3、数字 测直流电压、电流信号,电阻值。 测交流信号不如交流毫伏表精度高,模拟电子技术实验室的交流信号有效值都用交流毫伏表测量! 4、模拟万用表 在本实验室只用于单管放大时测静态工作点的电流I B和I C。 5、信号发生器 正弦信号输入是有效值,切记!要注意分清题目给的条件是指正弦信号的有效值(示例Ui =1V)和最大值(示例Ui m=1V)。 6、集成运算放大器的使用 +12V、地、-12V这三个电源必须接上,运放才能工作。同时注意要打开电源开关。

输入信号不是电源,切记! 共地:“输入信号的地”、“示波器的地”一定要和“电源的地”可靠地接在一起。 开环过零检查运放的好坏。 比例运算电路要闭环调零减少误差。 实验板 7、单管放大电路 单管放大电路实验板 +12V和地要可靠连接; 共地:“输入信号的地”、“示波器的地”一定要和“电源的地”可靠地接在一起。 线要连好,不要落了接某些线。

大连理工大学 《模拟电子线路实验》实验报告

网络高等教育 《模拟电子线路》实验报告 学习中心:咸阳远程网络教育学校奥鹏学习中心 层次:高中起点专科 . 专业:电力系统自动化技术 . 年级: 2015 年春季 . 学号 161586128155 . 学生姓名:惠伟 .

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002 型数字存储示波器和信号源的基本操作方法。 二、基本知识 4.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2 只8 脚集成电路插座和 1 只14 脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 5.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A 型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz 连续可调; ③幅值调节范围:0~10VP-P 连续可调; ④波形衰减:20dB、40dB; ⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 6.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。

模拟电子技术基础教案

《模拟电子技术基础》教案 1、本课程教学目的: 本课程是电气信息类专业的主要技术基础课。其目的与任务是使学生掌握常用半导体器件和典型集成运放的特性与参数,掌握基本放大、负反馈放大、集成运放应用等低频电子线路的组成、工作原理、性能特点、基本分析方法和工程计算方法;使学生具有一定的实践技能和应用能力;培养学生分析问题和解决问题的能力,为后续课程和深入学习这方面的内容打好基础。 2、本课程教学要求: 1.掌握半导体器件的工作原理、外部特性、主要参数、等效电路、分析方法及应用原理。 2.掌握共射、共集、共基、差分、电流源、互补输出级六种基本电路的组成、工作原理、特点及分析,熟悉改进放大电路,理解多级放大电路的耦合方式及分析方法,理解场效应管放大电路的工作原理及分析方法,理解放大电路的频率特性概念及分析。 3.掌握反馈的基本概念和反馈类型的判断方法,理解负反馈对放大电路性能的影响,熟练掌握深度负反馈条件下闭环增益的近似估算,了解负反馈放大电路产生自激振荡的条件及其消除原则。 4.了解集成运算放大器的组成和典型电路,理解理想运放的概念,熟练掌握集成运放的线性和非线性应用原理及典型电路;掌握一般直流电源的组成,理解整流、滤波、稳压的工作原理,了解电路主要指标的估算。

3、使用的教材: 杨栓科编,《模拟电子技术基础》,高教出版社 主要参考书目: 康华光编,《电子技术基础》(模拟部分)第四版,高教出版社 童诗白编,《模拟电子技术基础》,高等教育出版社, 张凤言编,《电子电路基础》第二版,高教出版社, 谢嘉奎编,《电子线路》(线性部分)第四版,高教出版社, 陈大钦编,《模拟电子技术基础问答、例题、试题》,华中理工大学出版社,唐竞新编,《模拟电子技术基础解题指南》,清华大学出版社, 孙肖子编,《电子线路辅导》,西安电子科技大学出版社, 谢自美编,《电子线路设计、实验、测试》(二),华中理工大学出版社, 绪论 本章的教学目标和要求: 要求学生了解放大电路的基本知识;要求了解放大电路的分类及主要性能指标。 本章总体教学内容和学时安排:(采用多媒体教学) §1-1 电子系统与信号0.5 §1-2 放大电路的基本知识0.5

模拟电子技术实验指导书

河海大学文天学院 电子技术实验指导书 模拟电子技术 王飞 2014.2

实验一 晶体管单管放大电路 一、实验目的 1.学习放大电路静态工作点调试方法,分析静态工作点对放大电路性能的影响。 2.学习放大电路电压放大倍数及最大不失真输出电压的测量方法。 3.测量放大电路输入、输出电阻。 4.进一步熟悉各种电子仪器的使用。 二、实验原理 图1-1为电阻分压式静态工作点稳定放大电路,它的偏置电路采用R B1 = R W1 + R 3和R B2 = R W2 + R 4组成的分压电路,并在发射级中接有电阻R E = R 6,用来稳定静态工作点。当在放大电路输入端输入信号U i 后,在放大电路输出端便可得到与U i 相位相反、被放大了的输出信号U 0,实现了电压放大。R 1和R 2组成输入信号的分压电路,其目的是防止输入信号过大,损坏三极管。 图1-1 在电路中静态工作点为: CC B B B B U R R R U 2 12 += E E E BE B E R U R U U I = -= )(E C C CC CE R R I U U +-= 动态参数: 电压放大倍数k 3.3//50==-== R R R R U U A C be L C i U γβ

其中) mA () mv (26) 1(300E be I r β++= 输入电阻:若开关合上,即R 7短接 be B B i r R R r ////21= 输出电阻:5R R r C o == 放大电路输入电阻测试方法:若输入信号源U S 经R 1 = 5.1k 与C 1串联后再接到三极管 V 1的基极,测得U S 和'i U ,即可计算出1' ' R U U U r i S i i ?-= 输出电阻可用下式计算:L R U U r )1(0 '00-= 其中' 0U 为R L 未接入时(R L = ∞)U 0之值,U 0为接入R L 时U 0之值。 1.静态工作点的测试 1)静态工作点的测量 放大电路的静态工作点是指在放大电路输入端不加输入信号U i 时,在电源电压V CC 作用下,三极管的基极电流I B ,集电极电流I C 以及集成极与发射极之间的电压U CE 等。测量静态工作点时,应使放大电路输入信号U i = 0,即将信号源输出旋钮旋至零(通常需将放大电路输入端与地短接)。然后测出I C ,或测出R E 两端电压,间接计算出I C 来,I B = I C / β, U BE , U CE 用数字式直流电压表进行测量,在测试中应注意: a) 测量电压U BE 、U CE 时,为防止引入干扰,应采用先测量B 、C 、E 对地的电位后进行计算,即: U BE = U B – U E U CE = U C – U E b) 为了测量I B 、I C 和I E ,为了方便起见,一般先直接测量出U E 后,再由计算得到: E E E C R U I I == β C B I I = 总之,为了测量静态工作点只需用直流电压表测出U C 、U B 、U E 即可推算出。 2)静态工作点的调试: 放大电路的基本任务是在不失真的前提下,对输入信号进行放大,故设置放大电路静态工作点的原则是:保证输出波形不失真并使放大电路具有较高的电压放大倍数。 改变电路参数U CC 、R C 、R B 都将引起静态工作点的变化,通常以调节上偏置电阻取得一合适的静态工作点,如图1-1中调节R W1。R B1减小将引起I C 增加,使工作点偏高,放大电路容易产生饱和失真,如图1-2-a 所示,U 0负半周被削顶。当R B1增加,则I C 减小,使工作点偏低,放大电路容易产生截止失真,如图1-2-b 所示。U 0正半周被缩顶。适当调节R b1可得到合适的静态工作点。

参考答案--模拟电子技术实验指导书(2012)

参考答案--模拟电子技术实验指导书(2012)

实验一常用电子仪器的使用 一、实验目的 1.熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1—1 模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器

模拟电子技术实验指导书

《模拟电子技术》实验教学指导书课程编号:1038181007 湘潭大学 信息工程学院电工与电子技术实验中心 2007年11月30日

前言 一、实验总体目标 通过实验教学,使学生巩固和加深所学的理论知识,培养学生运用理论解决实际问题的能力。学生应掌握常用电子仪器的原理和使用方法,熟悉各种测量技术和测量方法,掌握典型的电子线路的装配、调试和基本参数的测试,逐渐学习排除实验故障,学会正确处理测量数据,分析测量结果,并在实验中培养严肃认真、一丝不苟、实事求是的工作之风。 二、适用专业年级 电子信息工程、通信工程、自动化、建筑设施智能技术等专业二年级本科学生。 三、先修课程 《高等数学》、《大学物理》、《电路分析基础》或《电路》。 网络化模拟电路实验台:36套(72组) 主要配置:数字存储示波器、DDS信号发生器、数字交流毫伏、模块化单元电路板等。 六、实验总体要求 本课程要求学生自己设计、组装各种典型的应用电路,并用常用电子仪器测试其性能指标,掌握电路调试方法,研究电路参数的作用与影响,解决实验中可能出现各种问题。 1、掌握基本实验仪器的使用,对一些主要的基本仪器如示波器、、信号发生器等应能较熟练地使用。 2、基本实验方法、实验技能的训练和培养,牢固掌握基本电路的调整和主要技术指标的测试方法,其中还要掌握电路的设计、组装等技术。 3、综合实验能力的训练和培养。 4、实验结果的处理方法和实验工作作风的培养。

七、本课程实验的重点、难点及教学方法建议 本课程实验的重点是电路的正确连接、仪表的正确使用、数据测试和分析; 本课程实验的难点是电路的设计方法和综合测试与分析。 在教学方法上,本课程实验应提前预习,使学生能够利用原理指导实验,利用实验加深对电路原理的理解,掌握分析电路、测试电路的基本方法。

模拟电子技术实验II指导书(2017版)

模拟电子技术实验II 教学指导书 课程代码:021********* 湘潭大学 信息工程学院 2017年10月8日

前言 一、实验总体目标 本课程为电子信息类专业本科生的学科基础课程。通过实验培养学生理论联系实际的能力,提高学生的动手能力、分析问题和解决问题的能力。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的模拟电路构建方法和实验调试的基本技能。 1.掌握常用电子仪器的选用及测试方法。 2.针对简单的模拟电路,能正确调试电路参数,掌握基本参数测试与功能分析方法。 3.针对简单的工程问题,能依据实验故障现象,分析问题并解决问题。 4.能正确观察实验现象、记录实验数据、并自拟部分数据表格,并通过正确分析实验结果,得出结论,撰写符合要求的实验报告。 5. 具备电子电路仿真软件的初步应用能力。 二、适用专业年级 电子信息类专业二年级本科学生。 三、先修课程 大学物理、电路分析基础、模拟电子技术实验II 四、实验项目及课时分配 五、实验环境 模拟电路实验台:72套。主要配置:多种模拟电路实验模块、直流电压源、直流电压表、万用表、信号发生器、示波器、交流毫伏表等,仿真实验配置:PC机、Multisim 10电路仿真分析仿真软件。 六、实验总体要求 1、每次实验前预习实验原理,做好实验方案设计和理论计算,仿真分析观察与测试,提交实验预习报告; 2、正确使用电压表、万用表、信号发生器、示波器、交流毫伏表等实验设备; 3、按电路图联接实验线路和合理布线,能初步分析并排除故障; 4、具有根据实验任务确定实验方案、设计实验线路和选择仪器设备的初步能力; 5、认真观察实验现象,正确读取实验数据和记录实验波形并加以检查和判断,分析实验结果,正确撰写实验报告。

模拟电子技术实验指导书(12-13-1)

实验一 常用电子仪器的使用 一、 实验目的 1.熟悉示波器,低频信号发生器和频率计等常用电子仪器面板,控制旋钮的名称,功能及使用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 图1—1 模拟电子电路中常用电子仪器布局图 1. 低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V (峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值U m 、周期T (或频率f )和初相;脉冲信号的波形参数是幅值U m 、周期T 和脉宽T P 。幅值U m 、峰峰值U P-P 和有效值都可表示正弦量的大小,但用示波器测U P-P 较方便(用万用表交流电压档测得的是正弦量的有效值U= 2 m U )。由于频率f=T 1 , 所以测出周期T ,即可算得频率。矩形脉冲电压,可用周期T ,脉宽T P 和幅值U m 三个参数来描述。T P 与T 之比称为占空比。 三、 实验内容和步骤 1.检查示波器 1) 扫描基线调节 接通交流电源(220V ),开启示波器电源,输入耦合方式开关拨到接地端(GND 端),进行光迹调节,协调地调节示波器面板上的“辉度”、“聚焦”、“X 轴位移”、“Y 轴位移”等旋钮,使屏幕的中心部分显示一

相关主题
文本预览
相关文档 最新文档