当前位置:文档之家› 光控计数器的设计

光控计数器的设计

光控计数器的设计
光控计数器的设计

郑州科技学院

《数字电子技术》课程设计

题目光控计数器的设计

学生姓名_______________________

专业班级_______________________

学号___________________________

院(系)信息工程学院

指导教师_______________________

完成时间2013 年11月29日

目录

1 课程设计的目的 (1)

2 课程设计的任务与要求 (1)

3 设计方案 (1)

4 设计原理及功能说明 (2)

4.1 工作原理 (2)

4.2 功能说明 (2)

5 单元电路的设计 (6)

5.1 光控电路部分 (6)

5.2 脉冲整形部分 (6)

5.3 加减信号产生部分 (7)

5.4 计数及数码管显示部分 (8)

6 硬件的调试 (9)

7 总结 (9)

参考文献 (11)

附录1:原理图 (12)

附录2:元器件清单 (12)

附录3:实物图 (14)

1课程设计的目的

(1)熟悉逻辑门电路、集成芯片和555定时器等器件的内部结构和功能,合理运用其内部及其功能,完成相应的设计工作。

(2)学会使用电路仿真软件如:Mutisim。

(3)能够对电子电路、印刷电路板、电子元器件等一些相关与电子和焊接等方面的知识有进一步的认识,并独立对其进行测试与检查。

(4)这次试验对焊接技术、排错调试、以及相关设备的使用等方面的得到了比较全面的锻炼和提高。并进一步巩固了在课堂上学习的理论知识。

2课程设计的任务与要求

基本功能:

(1)使用逻辑门电路、集成芯片及555定时器等器件完成光控计数器的设计;

(2)使用光敏元件采集信息,通过调整进行计数;

(3)采用2位数码管进行计数,计数范围在0-99,可进行复位。扩展功

能:

对进出加以区分,进入时计数器进行加,出去时计数器进行减。

3设计方案

整个系统由五个部分组成:光控电路、触发脉冲、加减计数、显示译码和数码显示,其系统整体框图如下:

图3-1

4设计原理及功能说明

4.1工作原理

首先由光控电路将接收的光信号转换为电信号, 经由555定时器组 成的施密特触发器整形和555定时器组成的单稳态触发器触发脉冲,输 出计数脉冲信号。再通过计数器和译码器,在数码显示管上显示数目的 增加或减少,实现自动计数的功能。

4.2功能说明

光电转换电路:光电转换电路用于将光信号转换为系统所需的电信 号。由于需要进行数目的加和减的运算,此部分需要两个相同的光控电 路。每个电路的组成为:一个发射管,一个接收管,同时还有一个

370

欧姆和一个5.1K 欧姆的电阻。接通电源后,接收管接收到发射管射来 的红外光线。当有人通过两个二极管间时,内阻减小, 集电极输出低电

平,送至施密特触发器。

时钟脉冲产生电路:对于双D 触发器所需要的1000Hz 的脉冲, 由于在本课题中电路对脉冲的精确度要求不是很高而晶体振荡需要 分频,所以采用了 555定时器构成的多谐振荡器,使其产生需要的

加减计数器

方波作为触发器和计数器的CP脉冲

时序控制电路:时序控制电路在本课题中主要用于判断计数的增加或是减少,在此选用了一个D触发器、三个74LS00芯片来实现。设计思路如下:设初始状态为0,由光控电路部分产生的两列

脉冲分别为A,B,设置计数器为增加状态时,如图4-1所示。

11/1

11/0

图4-1增加状态时序逻辑分析图

如上图时序逻辑分析图所示,可得真值表如表4-1所示:

表4-1增加状态时序控制电路真值表

A B Q(t)Q(t+1)Z

00000

01000

10010

11000

00100

01100

10110

11111

得卡诺图如图4-2所示:

因此,得

Q( t+1)=AB ' +AQ (4-1)

=((AB ')' (AQ))' (4-2)

Z=ABQ (t) (4-3)同理:设置计数器为减少状态时,其时序逻辑分析图如图4-3所示

11/1

01/0

图4-3减少状态时序逻辑分析图

如上图时序逻辑分析图所示,可得真值表如表4-2:

表4-2减少状态时序控制电路真值表

A B Q (t) Q (t+1) Z

00000

01010

10000

11000

00100

01110

10100

11111

图4-4减少状态时序控制电路卡诺图

所以:

Q (t+1) = BA ' +BQ (4-4)

=((BA ')'( BQ)) ' (4-5)

Z=ABQ (t) (4-6)计数和译码和显示部分:计数和译码由两个计数器、两个译码器和两个数码管来完成,用于接收计数脉冲信号并将其转化成单独的信号输出并显示。在本课题中选用了74LS192加减计数器、

74LS47和共阳极LED数码显示管。

光控开关电路设计

课程设计说明书 题目:光控开关电路设计 课程名称:模拟电子技术基础 学院:电子信息与电气工程学院学生姓名: 学号:201102010063 专业班级:自动化2011级2班 指导教师:杨欣 2013年6月7日

课程设计任务书

光控开关电路 摘要:此光控灯电路是基于光电传感器特性的基础上而设计的。该光控开关由光控部分,开关部分,LED灯三部分组成。当自然光的亮度(或人为亮度)发生改变时,光控灯将随着“开”和“关”。适合作为街道、宿舍走廊或其它公共场所照明灯,起到日熄夜亮的控制作用,以节约用电。它具有体积小、外形美观、制作容易、工作可靠等优点,适合于各种楼房走廊的照明设备,降低能耗,节约能源。 关键词:光敏二极管;电压比较器;继电器;晶体三极管

目录 1.设计背景 (1) 1.1满足现实生活需求 (1) 1.2适应现代科技发展 (1) 2.设计方案 (1) 2.1可供选择方案 (1) 2.2方案论证 (2) 3.方案的实施 (2) 3.1原理图的设计 (2) 3.2PCB板的设计和制作 (4) 3.3元器件的组装与焊接 (6) 3.4光控开关的调试 (6) 4.结果与结论 (6) 4.1.光控开关设计结果 (6) 4.2.结论 (6) 5.收获与致谢 (7) 6.参考文献 (8) 7.附件 (8)

1. 设计背景 1.1满足现实生活需求 在现代社会现实生活中我们无时无刻不在使用这电灯,现在市场上出现了各种各样的灯,比如:白炽灯,节能灯,彩灯等等,但是不论如何都少不了控制这些灯的开关。因此,设计一个可行性的开关显的尤为的重要。本次设计就是为了满足现实生活的需求而设计的光控开关。 1.2适应现代科技发展 随着现代科学技术的发展传统式开关已经不能满足现代生活。在现代社会很多地方夜晚需要长明灯,比如一些公共场所,一些生产车间。如果这些地方使用传统的开关很可能产生夜晚开灯之后,等到白天的时候就会忘记关灯而造成严重的能源浪费。 还有在一些生产过程中,我们能把这些光控开关当做报警装置的一部分。当人手触碰到那些危险区域之前,由于人手的遮光而使得光线变暗而触发开关产生报警。 因此我们的光控开关的设计是很有必要很有意义的一件事。 2. 设计方案 2.1可供选取方案: 方案一: 用μA741与光敏二极管构成光控部分 通过改变μA741的正向与反向输入电压的不同使μA741的输出端输出稳定的高电平或低电平从而使8050晶体三极管导通或截止来控制继电器的锡合与断开。 方案二: 用555定时器构建单稳态电路与光敏二极管够成光控部分 用555定时器构建的单稳态触发器同样能输出稳定的高电平或低电平从而使8050晶体三极管导通或截止来控制继电器的锡合与断开。

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

课程设计说明书-光控计数器word文档

H a r b i n I n s t i t u t e o f T e c h n o l o g y 课程设计说明书(论文) 课程名称:电子技术课程设计 设计题目:光控计数器 院系:自动化测试与控制系 班级: 设计者: 学号: 指导教师:齐明 设计时间: 2010.12.23 哈尔滨工业大学

哈尔滨工业大学课程设计任务书

*注:此任务书由课程设计指导教师填写。 1、实验器件: 电板 1块 发光二极管 2个 接收二极管 2个 74LS74 1片 74LS47 2片 74LS00 3片 74LS192 2片 555定时器 2片 数码管 2个 电阻:5.1K欧姆 2个 370欧姆 2个 310欧姆 14个 电容:1 μF 2个 1 nF 3 个 2、设计原理及思路: 整个系统由五个部分组成:光控电路、触发脉冲、加减计数、显示译码和数码显示,其工作原理框图如下:

首先由光控电路将接收的光信号转换为电信号,经施密特触发器整形触发脉冲,输出计数脉冲信号。再通过计数器和译码器,在LED数码显示管上显示数目的增加或减少,实现自动计数的功能。 各部分说明: 光电转换电路:光电转换电路用于将光信号转换为系统所需的电信号。由于需要进行 数目的加和减的运算,此部分需要两个相同的光控电路。每个电路的组成为:一个发 射管,一个接收管,同时还有一个370欧姆和一个5.1K欧姆的电阻。接通电源后, 接收管接收到发射管射来的红外光线。当有人通过两个二极管间时,内阻减小,集电 极输出低电平,送至施密特触发器。 时钟脉冲产生电路:对于双D触发器所需要的1000Hz的脉冲,由于在本课题中电 路对脉冲的精确度要求不是很高而晶体振荡需要分频,所以采用了555定时器构成的 多谐振荡器,使其产生需要的方波作为触发器和计数器的CP脉冲. 时序控制电路:时序控制电路在本课题中主要用于判断计数的增加或是减少,在 此选用了一个D触发器、三个74LS00芯片来实现。设计思路如下:设初始状态为0, 由光控电路部分产生的两列脉冲分别为A,B,设置计数器为增加状态时,如图 如上图时序逻辑分析图所示,可得真值表和卡诺图如下: A B Q(t) Q(t+1) Z

51单片机的光电计数器电路设计原理

51单片机的光电计数器电路设计原理 1.前言 21世纪是信息时代,获取信息,处理信息,运用信息。传感与检测技术的重要性在于它是获得信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。 传感与检测技术是一门知识面广、综合程度高、实用性很强的专业课程。它从传感器的基本理论入手,着重讲叙传感器的结构与感测原理,传感器是一个二端口的装置,不同的传感器输入-输出特性不同,同一传感器适应不同的被测信号呈现的特性也有所不同。尤其当被测信号为静态信号时两种状态下,传感器的输入-输出特性完全不同。感测技术在许多新技术、新器件里都有应用,在课程安排上,以信息的传感、转换、处理为核心,从基本物理概念入手,阐述热工量、机械量、几何量等参数的测量原理及方法。 光电式传感器是将光信号转化为电信号的一种传感器。它的理论基础是光电效应。这类效应大致可分为三类。第一类是外光电效应,即在光照射下,能使电子逸出物体表面。利用这种效应所做成的器件有真空光电管、光电倍增管等。第二类是内光电效应,即在光线照射下,能使物质的电阻率改变。这类器件包括各类半导体光敏电阻。第三类是光生伏特效应,即在光线作用下,物体内产生电动势的现象,此电动势称为光生电动势。这类器件包括光电池、光电晶体管等。光电效应都是利用光电元件受光照后,电特性发生变化。敏感的光波长是在可见光附近,包括红外波长和紫外波长。 本课题利用AT89C51单片机,探讨一种简易光电计数器的设计思路。 2光电计数器的系统设计 2.1系统硬件设计 2.1.1方案选择 由于单片机所具有的特性,它特别适用于各种智能仪器仪表,家电等领域中,可以减少硬件以减轻仪表的重量,便于携带和使用,同时也可能低存本,提高性能价格之比。

基于89C51单片机的光控路灯设计

基于89C51单片机的光控路灯设计 指导老师:翁志刚 学生姓名:沈韦青葛宜兵任务:基于单片机条件下,设计一光控路灯模型。 要求:1、光照条件充足时,路灯保持熄灭状态,光照不足时,路灯自动开启照明。 2、使用器材:光敏电阻、模数转换器、单片机等。 3、电路简洁,制作原理图并要求仿真。 设计方案: 方案一

方案二 说明: 因为本课程设计的要求用单片机来实现光控路灯的设计,所以采用方案二,总体设计分为两个模块:主控模块和被控模块。主模块与被控模块之间通过单片机进行连接。 摘要: 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 路灯控制方式很多,本系统采用MSC-51系列单片机89C51和相关的光电检测设备来设计智能光控路灯控制器,实现了能根据实际光线条件通过89C51芯片的P1口控制路灯开关功能。随着社会文明的

不断发展,城市照明不仅局限于街道的照明,而且发展成了城市景观等装饰性照明的综合市政工程,社会对亮灯率、开关灯的准确率、故障检测的实时性和维修的及时性要求不断提高,利用51系列单片机可编程控制八位逻辑I、O端口实现路灯开关控制的智能化,达到节能、自动控制的目的。避免传统电路对能源的浪费,路灯的自动控制更方便管理,本系统实用性强,操作简单。 本文首先介绍了单片机及嵌入式系统的基本概念、特点和应用。描述了多功能基于51单片机的光控路灯的设计过程。详细说明了以51单片机为核心的软、硬件的研制过程和方法。利用proteus软件设计了电路原理图。完成光控路灯的设计。 一、引言: 随着社会经济的发展,城市照明设施的功能从单纯的以照明为主转变为实现美化环境、改善形象、活跃夜市经济的目的。对城市灯饰的管理与控制迫切需要一种科学、合理、高效的方法。因此,提供一种有效而合理的控制与管理的方法,对城市路灯与饰灯的运行状态进行智能监控显得极为重要。针对城市路灯这样“终端多、地域广、户外、分散、信息量不大”等特点,可以选择单片机智能光控的方式来解决。这样,既克服了传统的路灯控制方法、控制方式单一而无法满足实时监控和管理要求的弱点,又能适应现代城市队灯饰控制的要求。

多功能计时器-课程设计

信息工程学院课程设计报告书题目: 多功能计时器 专业:电子信息科学学技术 班级: 学号: 学生姓名: 指导教师: 2012 年 12 月 24 日

信息工程学院课程设计任务书 年月日

信息工程学院课程设计成绩评定表

摘要 此多功能计时器是基于741s48、74ls192、555定时器、CD40161设计的,由六个主要部分组成,即控制电路、秒脉冲发生器、计数器、译码显示器、置数电路以及声光报警电路,包含置数(00至99)、1s倒计时、开启、暂停、连续、清零以及到点声光报警等主要功能,也能完成一个完整的系统过程,可用于各种竞赛计时,交通灯系统,及报警装置。计时范围为00至99,可智能控制。 关键词:多功能计时器、1s倒计时、连续、声光报警

目录 目录 (4) 1 任务提出与方案论证 (5) 1.1 任务提出 (5) 1.2 方案论证 (5) 2 总体设计 (6) 2.1 总体框图 (6) 2.2 总体电路 (7) 3 详细设计 (8) 3.1秒脉冲发生器 (8) 3.2译码计时电路 (10) 3.3控制电路 (13) 3.3.1总开关 (13) 3.3.2单刀双掷开关 (13) 3.4反馈电路 (14) 3.5报警电路 (14) 3.6置数电路: (15) 4 总结 (17) 5 参考文献 (18)

1 任务提出与方案论证 1.1 任务提出 设计一种多功能计时器,要求实现以下功能: 置数、1s倒计时、开启、暂停、连续、清零以及到点声光报警,计时范围为00至99,可智能控制。能任意定时,开启和暂停及清零,1秒的准确延时,及到点声光报警。 1.2 方案论证 秒脉冲发生器:可以选用晶振产生,或者用555定时器或者555与CD40161同时产生,为了实现反馈,让计时器计数到零时停止,我选用CD40161 ,即实现了1s计数有可以形成反馈。译码电路:我选用4线-七段译码器/驱动器74LS48来实现。 计时电路:我选用十进制可逆计数器74LS48 ,可以用来置数,同时也可以来产生减计数。控制电路:用按键和反馈来实现。 报警电路:用speaker和led来实现。 置数电路:用单刀双掷开关选通74ls48的置数端,通过置0或置1来控制。

毕业论文光电计数器设计及制作

毕业论文光电计数器设 计及制作 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】

目录

摘要 数字式计数器因为其有使用方便,计数精确,显示直观等优点,被广泛应用于各行业生产线上的物件计数。本论文利用光电二极管接收激光光源发射的光信号,并通过数字计数与显示电路设计了一种光电计数器。当物件从光电二极管与激光器之间通过时会对光束进行遮挡,光电二极管的电压发生变化。该信号经过放大和处理后,经计数电路和LED数码管显示计数的数值。该光电计数器可以将机械或者人工的计数方式转变为电子自动计数,工业实用性很强。 关键词:光电二极管,激光器,计数器, LED数码管 Abstract As the digital counter has advantages in convenient using, accurate counting, direct display , it is widely used in industry production line for counting the paper designed a photoelectric counter,the photoelectric receivers sent from the laser,and then through the digital count and display objects cross the middle of the laser ,the beam will be kept out, photoelectric receiver's voltage would have a voltage signal will be amplified and processed, then input it to the count circuit, and then the number can be displayed on the LED digital display photoelectric counter can change mechanical counting or artificial counting into electronic automatic counting, it has strong industrial usability. Key Words:photodiode,,laser,counter,LED digital tube 引言 随着自动化技术的高速发展,工业上的生产越来越趋向于自动化。在流水生产线 中,自动化的计数装置已经普及。采用自动化计数不仅可以提高生产计数的效率,还 可以提高计数的准确性。对于工业生产的现代化具有很大的推进作用。生产自动化、 设备数字化、机电一体化不断发展,工业中对光电计数器的需求量也逐渐在增大,因 此,设计光电计数器是十分具有现实意义的。 光电技术是一门以光电子学为基础,将光学技术、现代微电子技术、精密机械及 计算机技术紧密结合,成为获取光信息或借助光提取其他信息的重要手段的课程。光 电技术在现代科技、经济、军事、文化、医学等领域发挥着极其重要的作用,以此为 支撑的光电子产业是当今世界争相发展的支柱产业,是竞争激烈、发展最快的信息技

课程设计_光控计数器

课程设计 ------------光控计数器 学校:淮北师范大学信息学院 专业:电子信息科学与技术 姓名: 学号: 时间:2010-6-19

指导老师: 一章设计要求 本设计主要是利用光线的通断来统计进入实验室人数。要求设计两路光控电路.一路放置在门外.另一路设置在门里.当有人通过门口时(无论是进入或走出房间).都会先触发一个光控电路.再触发另一个光控电路.要求根据光控电路产生触发脉冲的先后顺序.判断来人是进入还是离开实验.当有人进入实验室时令计数器进行加计数.当有人离开实验室时进行减计数;要求计数器的最大计数容量为99人.并用数码管显示数字。 第二章系统组成及工作原理 2.1 系统组成 整个系统由五个部分组成:光控电路、触发脉冲、加减计数、显示译码和数码显示.其工作原理框图如下:

2.2 工作原理 首先由光控电路将接收的光信号转换为电信号.经施密特触发器整形触发脉冲.输出计数脉冲信号。再通过计数器和译码器.在LED数码显示管上显示数目的增加或减少.实现自动计数的功能。 第三章电路设计 3.1元器件介绍 3.1.1施密特触发器 经光电部分出来的波形是不规则的.需要经过施密特触发器进行整形。在此课题中选用的施密特触发器是555定时器。 3.1.2 555定时器

555定时器是一种应用极为广泛的中规模集成电路。它使用灵活、方便.只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器.广泛用于信号的产生、变换、控制和检测。在此课题中主要是用555定时器构成多谐振荡器.产生双D 触发器所需要的时钟脉冲。其引脚图如图一。 U 12L M 555C N G N D 1D I S 7O U T 3 R S T 4V C C 8 T H R 6C O N 5T R I 2 图一 3.1.3 双D 触发器 本课题中选用的是74LS74双D 触发器.用于和其它芯 片一起构成时序逻辑电路。由于74LS74是上升边沿触发的边沿D 触发器.电路结构是维特—阻塞型的.所以又称维特—阻塞触发器。它要求控制端D 的信号应超前CP 脉冲上升边沿2Tpd1时间建立.并要求在CP 脉冲触发边沿到来后继续维持1Tpd1时间(此处的Tpd1是TTL 门的平均传输延迟时间)。其中一个D 触发器引脚结构如图二。

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

光电计数器的设计

光电计数器的设计

景德镇陶瓷学院 电子电路CAD课程设计课题名称光敏计数器 所在院系机电学院 班级13自动化2班 学号201310320210 姓名董儒诚 指导老师刘蜀阳 时间2015年12月30日

光敏计数器 设计一种自动计数的装置。在学习了脉冲数字电路的基础上,利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能 目录 前言 (1) 第一章设计要求 (2) 1.1 基本要求 (2) 1.2 提高要求 (2)

第二章系统组成及方案设计 (3) 2.1 系统组成 (3) 2.1 方案一 (3) 2.2 方案二 (4) 2.3 方案比较与选择 (5) 第三章单元电路的工作原理 (6) 3.1 光电转换电路 (6) 3.2 计数电路 (6) 3.3 报警电路 (8) 3.4 电路仿真 (10) 第四章组装及测试结果与分析 (10) 4.1 焊接是特别要注意的几点: (10) 4.2 测试 (10) 4.3 结果 (10) 第五章总结 (11) 附录一元件清单 (13) 附录二总电路图 (14) 参考文献 (15)

前言 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感器是获取信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。基于光电效应的传感器,光电式传感器在受到可见光照射后即产生光电效应,将光信号转换成电信号输出。它除能测量光强之外,还能利用光线的透射、遮挡、反射、干涉等测量多种物理量,如尺寸、位移、速度、温度等,因而光电式传感器是一种应用极广泛的重要敏感器件。光电计数器的设计是一种比较初级的利用光电传感器发出信号脉冲进行计数的一种简单光电系统。 光电计数器可完成小型的计数功能,可应用于小教室和小餐厅等小容量的场所,进行相应的计数功能,具有很大的实用价值。工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本次设计的光电计数器为非接触式计数器中的一种。 在该光电计数器中,计数的过程其实是获取脉冲源的过程,首先遮挡物遮挡光电传感器产生一下降沿,拿掉遮挡物产生一个上升沿,使计数器得到一个脉冲进行计数。之后计数器输出一个信号输入到译码器中,由译码器控制数码管的显示,数码管最大显示99。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动解除报警。 整个电路的设计先借助于仿真软件proteus仿真,得到了预期的结果,而后依据仿真图在实验室焊接、调试,实现了预定的功能。 1

光控声控开关设计总结

题目:声控和光控开关设计 学院:电子工程学院 专业:应用电子技术2010年5月

一、设计电路原理图及工作原理 图1设计电路原理图 一、工作原理: 当麦克风作用时,其电阻变小,A点电压下降,相当于A点产生一个负脉冲,经三极管Q1和Q2放大,并给以555构成的单稳态触发器提供负脉冲,触发器翻转,进入暂稳态,输出端第3脚输出高电平,三极管Q4和Q5导通,开关闭合,在暂稳态期间,电源通过

电阻R8对电容C5充点,充电电压u C当升高到u C=2/3Vcc,触发器复位,暂稳态结束,触发器第3脚输出为低电平,555芯片内部三极管T1导通,电容C5通过T1放大,电路回复至稳定状态,开关断开。 当光照接收管时,其电阻变小,触发器输入端第2脚电压≤1/3Vcc,触发器翻转,进入暂稳态,其它工作原理同上。 其中,D1,C4,R9,Q3构成暂稳态自锁电路,工作过程:在暂稳态期间,输出端第3脚输出高电平,D1导通,三极管Q3饱和导通,其CE间导通电压很小,即B点电压很小,Q2保存截止,以防再次触发干扰暂稳态。 二极管D2作用是:当开关外接其它带有感性电路时,由于电感断电时产生反向电动势,此电动势通过二极管D2放电,其保护作用。 二、电路仿真及结果 1、仿真软件Multisim简要介绍 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 Multisim对电路进行仿真分析的基本过程,只是在绘电路图时还需要接入所需要的仪器仪表,构成完整的实验电路。在进行数字电路仿真或模拟电路瞬态分析时,不需要设置仿真类型和

推荐-基于多功能数字钟的课程设计报告 精品

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 20XX年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

光电计数器的设计

景德镇陶瓷学院 电子电路CAD课程设计课题名称光敏计数器 所在院系机电学院 班级13自动化2班 学号201310320210 姓名董儒诚 指导老师刘蜀阳 时间2015年12月30日 word . .

光敏计数器 设计一种自动计数的装置。在学习了脉冲数字电路的基础上,利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能 目录 前言 (1) 第一章设计要求 (2) 1.1 基本要求 (2) 1.2 提高要求 (2) word . .

第二章系统组成及方案设计 (3) 2.1 系统组成 (3) 2.1 方案一 (3) 2.2 方案二 (4) 2.3 方案比较与选择 (4) 第三章单元电路的工作原理 (5) 3.1 光电转换电路 (5) 3.2 计数电路 (5) 3.3 报警电路 (7) 3.4 电路仿真 (9) 第四章组装及测试结果与分析 (10) 4.1 焊接是特别要注意的几点: (10) 4.2 测试 (10) 4.3 结果 (11) 第五章总结 (12) 附录一元件清单 (13) 附录二总电路图 (14) 参考文献 (15) word . .

前言 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感器是获取信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。基于光电效应的传感器,光电式传感器在受到可见光照射后即产生光电效应,将光信号转换成电信号输出。它除能测量光强之外,还能利用光线的透射、遮挡、反射、干涉等测量多种物理量,如尺寸、位移、速度、温度等,因而光电式传感器是一种应用极广泛的重要敏感器件。光电计数器的设计是一种比较初级的利用光电传感器发出信号脉冲进行计数的一种简单光电系统。 光电计数器可完成小型的计数功能,可应用于小教室和小餐厅等小容量的场所,进行相应的计数功能,具有很大的实用价值。工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本次设计的光电计数器为非接触式计数器中的一种。 在该光电计数器中,计数的过程其实是获取脉冲源的过程,首先遮挡物遮挡光电传感器产生一下降沿,拿掉遮挡物产生一个上升沿,使计数器得到一个脉冲进行计数。之后计数器输出一个信号输入到译码器中,由译码器控制数码管的显示,数码管最大显示99。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动解除报警。 整个电路的设计先借助于仿真软件proteus仿真,得到了预期的结果,而后依据仿真图在实验室焊接、调试,实现了预定的功能。 word . .

单片机课程设计——计算器设计

目录 一、设计总绪 (2) 1.1设计思想 (2) 1.2设计说明 (3) 1.3关键词:矩阵键盘,单片机,数码管显示,汇编语言 (3) 1.4设计目的 (3) 1.5设计要求 (4) 二、设计方案 (5) 2.1硬件电路设计方案 (5) 2.1.1基本结构 (5) 2.1.2系统框架图 (5) 2.1.3工作流程图 (6) 2.1.4单片机主控制模块 (7) 2.2系统功能描述 (9) 三、各模块功能介绍 (10) 3.1键盘输入模块 (10) 3.1.1键盘分布图 (10)

3.1.2工作原理 (11) 3.2运算控制模块 (11) 3.3显示模块 (12) 3.4振荡电路模块 (13) 四、仿真电路 (14) 仿真运行结果 (14) 五、调试过程总结 (17) 附录: (18) 参考文献: (18) 源程序代码 (19) 一、设计总绪 1.1设计思想 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技

术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,但仅单片机方面的知识是不够的,还应根据具体硬件结构、软硬件结合,来加以完善。计算机在人们的日常生活中是比较常见的电子产品之一。可是它还在发展之中,以后必将出现功能更加强大的计算机,基于这样的理念,本次设计是用AT89c51单片机、LCD显示器、控制按键为元件来设计的计算器。利用此设计熟悉单片机微控制器及汇编语言编程,对其片资源及各个I/O端口的功能和基本用途的了解。掌握应用程序开发环境,常用的LCD显示器的使用方法和一般键盘的使用方法 此设计是基于单片机技术的简易计算器的方案,本次设计所提出的一种基于单片机技术的简易计算器的方案,采用具有数据处理能力的中央处理器CPU,随机存储器ROM,多种I/O口和中断系统、定时器/计时器等功能集成到一块硅片上构成的一个小而完善的计算机系统——单片机,配以汇编语言编写的执行程序,能更好的解决计算机计算的问题,随着数字生活的到来,单片机在生活中越来越重要,它能将大量的逻辑功能集成与一个集成电路中,使用起来十分方便。 1.2设计说明 本次课程设计讨论了单片机技术的计算器构思,设计方案,工作原理,主要系统包括单片机80C51,排阻RESPACK—8,开关,六位数码管显示器等,主要组成部分包括:键盘输入模块,运算模块,控制模块,显示模块。通过键盘输入数值,单片机进行运算后在数码管显示出结果。 1.3关键词:矩阵键盘,单片机,数码管显示,汇编语言 1.4设计目的

光电计数器的设计

光电计数器的设计 The Standardization Office was revised on the afternoon of December 13, 2020

景德镇陶瓷学院 电子电路CAD课程设计 课题名称光敏计数器 所在院系机电学院 班级 13自动化2班 学号 0210 姓名董儒诚 指导老师刘蜀阳 时间 2015年12月30日 光敏计数器 设计一种自动计数的装置。在学习了脉冲数字电路的基础上,利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能

目录 前言 (1) 第一章设计要求 (2) 基本要求 (2) 提高要求 (2) 第二章系统组成及方案设计 (3) 系统组成 (3) 方案一 (3) 方案二 (4) 方案比较与选择 (5) 第三章单元电路的工作原理 (6) 光电转换电路 (6) 计数电路 (6) 报警电路 (8) 电路仿真 (9)

第四章组装及测试结果与分析 (10) 焊接是特别要注意的几点: (10) 测试 (10) 结果 (10) 第五章总结 (11) 附录一元件清单 (13) 附录二总电路图 (14) 参考文献 (15)

前言 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感器是获取信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。基于光电效应的传感器,光电式传感器在受到可见光照射后即产生光电效应,将光信号转换成电信号输出。它除能测量光强之外,还能利用光线的透射、遮挡、反射、干涉等测量多种物理量,如尺寸、位移、速度、温度等,因而光电式传感器是一种应用极广泛的重要敏感器件。光电计数器的设计是一种比较初级的利用光电传感器发出信号脉冲进行计数的一种简单光电系统。 光电计数器可完成小型的计数功能,可应用于小教室和小餐厅等小容量的场所,进行相应的计数功能,具有很大的实用价值。工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本次设计的光电计数器为非接触式计数器中的一种。 在该光电计数器中,计数的过程其实是获取脉冲源的过程,首先遮挡物遮挡光电传感器产生一下降沿,拿掉遮挡物产生一个上升沿,使计数器得到一个脉冲进行计数。之后计数器输出一个信号输入到译码器中,由译码器控制数码管的显示,数码管最大显示99。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动解除报警。 整个电路的设计先借助于仿真软件proteus仿真,得到了预期的结果,而后依据仿真图在实验室焊接、调试,实现了预定的功能。

大连理工大学数字电路课程设计报告_多功能数字时钟设计说明

理工大学本科实验报告 题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生: 学号: 完成日期: 2014年7月16日

2014 年 7 月 16 日 题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)

数字电路课程设计题目

题目1 数字式频率计 任务: 设计一个数字式频率计。 基本要求: 1.被测信号为TTL脉冲信号。 2.显示的频率范围为0—99Hz。 3.测量精度为±1Hz。 4.用LED数码管显示频率数值。 扩展部分: 1.输人信号为正弦信号、三角波,幅值为10mV。 2.显示的频率范围为0000—9999Hz。 3.提高测量的精度至0.1Hz。 设计方案: 频率是指单位时间(1s)内信号振动的次数。从测量的角度看,即单位时间测得的被测信号的脉冲数。电路的方框图如下图所示。被测信号送人通道,经放大整形后,使每个周期形成一个脉冲,这些脉冲加到主门的A输人端,门控双稳输山的门控信号加到主门的B输入端,在主门开启时间内,脉冲信号通过主门,进人计数器,则计教器记得的数,就是要测的频率值。如果主门的开启时间为Ts,计数器累积的数字为N,则被测的频率为fx=N/T。 五、可选元器件 锁存器74LS273;计数器74LS90; 定时器555:单稳态触发器743Ls123; 显示译码器74Ls47;共阳极数码管:电阻、电容若干。

题目2 多功能数字钟 一、任务 设计一个数字钟。 二、基本要求 1.准确计时,以数字形式显示时、分和秒的时间。 2.小时的计时要求为“12翻1”,分和秒的时间要求为60进制。 3.校正时间。 三、扩展功能 1.定时控制。 2.仿广播电台正点报时。 3.报整点时数。 四、数字钟电路的组成框图 数字钟电路的组成如上图所示,其主体电路的工作原砌口下:由555定时器产生1kHz 的脉冲信号,经由74LS90构成的几级分频器后,输出1Hz的时钟,为由74LS90和74LS92构成的60进制秒计数器提供时钟,秒计数器十位再向74Ls90和74L592构成的60进制分计数器提供时钟,其高位再为由74LSl91和74LS74构成的12进制时计数器提供时钟。秒、分和时计数器的输出分别接到各自的译码器的输入端,驱动数码管显示。 五、可选无器件 与非门:74LS00 4片;译码器:74LS47 6片;计数器:74LS90 5片74LS92 2片74LS191 2片;发光二极管4只;数码管4只;555定时器:NE555 2片;触发器:741LS74 2片;74LS03(OC)片:74LS04 2片:74LS20 2片。

相关主题
文本预览
相关文档 最新文档