当前位置:文档之家› 课程设计说明书-光控计数器word文档

课程设计说明书-光控计数器word文档

课程设计说明书-光控计数器word文档
课程设计说明书-光控计数器word文档

H a r b i n I n s t i t u t e o f T e c h n o l o g y

课程设计说明书(论文)

课程名称:电子技术课程设计

设计题目:光控计数器

院系:自动化测试与控制系

班级:

设计者:

学号:

指导教师:齐明

设计时间: 2010.12.23

哈尔滨工业大学

哈尔滨工业大学课程设计任务书

*注:此任务书由课程设计指导教师填写。

1、实验器件:

电板 1块

发光二极管 2个

接收二极管 2个

74LS74 1片

74LS47 2片

74LS00 3片

74LS192 2片

555定时器 2片

数码管 2个

电阻:5.1K欧姆 2个

370欧姆 2个

310欧姆 14个

电容:1 μF 2个

1 nF 3 个

2、设计原理及思路:

整个系统由五个部分组成:光控电路、触发脉冲、加减计数、显示译码和数码显示,其工作原理框图如下:

首先由光控电路将接收的光信号转换为电信号,经施密特触发器整形触发脉冲,输出计数脉冲信号。再通过计数器和译码器,在LED数码显示管上显示数目的增加或减少,实现自动计数的功能。

各部分说明:

光电转换电路:光电转换电路用于将光信号转换为系统所需的电信号。由于需要进行

数目的加和减的运算,此部分需要两个相同的光控电路。每个电路的组成为:一个发

射管,一个接收管,同时还有一个370欧姆和一个5.1K欧姆的电阻。接通电源后,

接收管接收到发射管射来的红外光线。当有人通过两个二极管间时,内阻减小,集电

极输出低电平,送至施密特触发器。

时钟脉冲产生电路:对于双D触发器所需要的1000Hz的脉冲,由于在本课题中电

路对脉冲的精确度要求不是很高而晶体振荡需要分频,所以采用了555定时器构成的

多谐振荡器,使其产生需要的方波作为触发器和计数器的CP脉冲.

时序控制电路:时序控制电路在本课题中主要用于判断计数的增加或是减少,在

此选用了一个D触发器、三个74LS00芯片来实现。设计思路如下:设初始状态为0,

由光控电路部分产生的两列脉冲分别为A,B,设置计数器为增加状态时,如图

如上图时序逻辑分析图所示,可得真值表和卡诺图如下:

A B Q(t) Q(t+1) Z

0 0 0 0 0

0 1 0 0 0

1 0 0 1 0 1 1 0 0 0 0 0 1 0 0

0 1 1 0 0

1 0 1 1 0

因此,得Q(t+1)=AB′+AQ

=((AB′)′(AQ))′

Z=ABQ(t)

同理:设置计数器为减少状态时,

如上图时序逻辑分析图所示,可得真值表和卡诺图如下:

A B Q(t) Q(t+1) Z

0 0 0 0 0

0 1 0 1 0

1 0 0 0 0

1 1 0 0 0

0 0 1 0 0

0 1 1 1 0

1 0 1 0 0

1 1 1 1 1

AB Q00011110

01

111

AB Q00011110

01

111

得:Q(t+1)= BA′+BQ

=((BA′)′(BQ))′

Z=ABQ(t)

计数和译码和显示部分:计数和译码由两个计数器、两个译码器和两个数码管来

完成,用于接收计数脉冲信号并将其转化成单独的信号输出并显示。在本课题中选用

了74LS192加减计数器、74LS47和共阴极LED数码显示管。

3、电路连接测试:

按照电路图在计算机上进行仿真以后,开始在面包板上连接线路。为了更有效的检测电路连接的正确性,采取边连接边测试的方法。总共测试了三个部分:

◆时钟脉冲部分:首先进行测试的是时钟脉冲部分。连接好线路后,连接电源,

将555定时器的脉冲输出接口接入示波器,却未得到任何波形。经检查,发现

是芯片的位置错了。重新安置芯片后,示波器得到如下波形:

◆计数显示部分:其次测试了计数显示部分。同样的,连接电源,信号发生源调

至输出适当频率的脉冲信号,并将其输出接口触碰加减计数器的up/down两脚,数码管稳定而有序地进行数的加减。

◆光电转换部分:最后测试了光电转换部分。将数字万用表的负极端接地,正极

端接在三极管的集电极,用硬纸片隔断发射管和接收管,集电极由原来的高电

平变为低电平。

4、心得体会:

1)做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。

2)通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。

3)我们的工作是一个团队的工作。团结协作是我们实习成功的一项非常重要的保证。而这次实习也正好锻炼我们这一点。

4)此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松,自己也都能扛的起并高质量的完成项目。

5)感谢老师的耐心指导和同学们的细心帮助!

参考资料

《数字电子技术基础》………………哈尔滨工业大学教材科

《电子技术基础实验教程》…………机械工业出版社

附电路总图

设计60进制计数器 数电课程设计

电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院:班级:姓名:学号:电气工程学院电自1418 刘科 20

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联 目录 第1章概述 (1) 计数器设计目的 (1) 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 74LS161的功能 (2)

方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 基本电路分析设计 (4) 计数器电路的仿真 (6) 第4章总结 (8)

光控开关电路设计

课程设计说明书 题目:光控开关电路设计 课程名称:模拟电子技术基础 学院:电子信息与电气工程学院学生姓名: 学号:201102010063 专业班级:自动化2011级2班 指导教师:杨欣 2013年6月7日

课程设计任务书

光控开关电路 摘要:此光控灯电路是基于光电传感器特性的基础上而设计的。该光控开关由光控部分,开关部分,LED灯三部分组成。当自然光的亮度(或人为亮度)发生改变时,光控灯将随着“开”和“关”。适合作为街道、宿舍走廊或其它公共场所照明灯,起到日熄夜亮的控制作用,以节约用电。它具有体积小、外形美观、制作容易、工作可靠等优点,适合于各种楼房走廊的照明设备,降低能耗,节约能源。 关键词:光敏二极管;电压比较器;继电器;晶体三极管

目录 1.设计背景 (1) 1.1满足现实生活需求 (1) 1.2适应现代科技发展 (1) 2.设计方案 (1) 2.1可供选择方案 (1) 2.2方案论证 (2) 3.方案的实施 (2) 3.1原理图的设计 (2) 3.2PCB板的设计和制作 (4) 3.3元器件的组装与焊接 (6) 3.4光控开关的调试 (6) 4.结果与结论 (6) 4.1.光控开关设计结果 (6) 4.2.结论 (6) 5.收获与致谢 (7) 6.参考文献 (8) 7.附件 (8)

1. 设计背景 1.1满足现实生活需求 在现代社会现实生活中我们无时无刻不在使用这电灯,现在市场上出现了各种各样的灯,比如:白炽灯,节能灯,彩灯等等,但是不论如何都少不了控制这些灯的开关。因此,设计一个可行性的开关显的尤为的重要。本次设计就是为了满足现实生活的需求而设计的光控开关。 1.2适应现代科技发展 随着现代科学技术的发展传统式开关已经不能满足现代生活。在现代社会很多地方夜晚需要长明灯,比如一些公共场所,一些生产车间。如果这些地方使用传统的开关很可能产生夜晚开灯之后,等到白天的时候就会忘记关灯而造成严重的能源浪费。 还有在一些生产过程中,我们能把这些光控开关当做报警装置的一部分。当人手触碰到那些危险区域之前,由于人手的遮光而使得光线变暗而触发开关产生报警。 因此我们的光控开关的设计是很有必要很有意义的一件事。 2. 设计方案 2.1可供选取方案: 方案一: 用μA741与光敏二极管构成光控部分 通过改变μA741的正向与反向输入电压的不同使μA741的输出端输出稳定的高电平或低电平从而使8050晶体三极管导通或截止来控制继电器的锡合与断开。 方案二: 用555定时器构建单稳态电路与光敏二极管够成光控部分 用555定时器构建的单稳态触发器同样能输出稳定的高电平或低电平从而使8050晶体三极管导通或截止来控制继电器的锡合与断开。

计算器说明书

Java程序设计说明书 设计题目:Java计算器 学生姓名: 指导教师: 专业名称:计算机科学与技术所在院系:

目录 摘要2第1章计算器概述 1.1设计目的 4 1.2功能模块设计 4 1.3系统功能图 4 设计实现的详细步骤 2.2.1 计算器界面7 2.2.2 界面设计代码7 2.3程序运行效果9 第3章设计中遇到的重点及难点 (13) 3.1 设计中的重点 (13) 3.2 设计中的难点 (13) 3.2.1 设计难点1:布局 (13) 3.2.2 设计难点2:代码 (13) 3.2.3设计难点3:运行结果 (14) 3.3 本章总结 (14) 第4章本次设计中存在不足与改良方案 (15) 4.1设计不足 (15) 4.2改良方案 (15) 4.3本章总结 (18) 结论 (19) 参考文献 (20)

JAVA课程设计说明书 摘要 一、计算器概述 1、1设计计算器的目的: 该计算器是由Java语言编写的,可以进行十进制下的四则运算(加、减、乘、除)、开平方、百分号、求倒数,还可以实现其他按钮的功能。添加了一个编辑、查看、帮助的主菜单并能实现其功能。Backspace 表示逐个删除,CE 表示全部清除,C 表示一次运算完成后,单击“C”按钮即可清除当前的运算结果,再次输入时可开始新的运算,MC 表示清除储存数据,MR 表示读取储存的数据,MS 表示将所显示的数存入存储器中,存储器中原有的数据被冲走,M+ 表示计算结果并加上已经储存的数。界面类似Windows 自带的计算器。 该计算器围绕Java编程语言在编程方面的具体应用,论述了使用面向对象方法,对计算器程序进行需求分析、概要设计、详细设计,最后使用Java编程实现的全过程。在编程使用Java语言,是目前比较流行的编程语言。在当今这个网络的时代,java语言在网络编程方面的优势使得网络编程有了更好的选择。Java语言最大的特点是具有跨平台性,使其不受平台不同的影响,得到了广泛的应用。 关键词:Java语言、标准、计算器

自动控制系统课程设计报告说明书

H a r b i n I n s t i t u t e o f T e c h n o l o g y 课程设计说明书(论文) 课程名称:自动控制理论课程设计 设计题目:直线一级倒立摆控制器设计 院系:电气学院电气工程系 班级: 设计者: 学号: 指导教师: 设计时间:2016.6.6-2016.6.19 手机: 工业大学教务处

*注:此任务书由课程设计指导教师填写。

直线一级倒立摆控制器设计 摘要:采用牛顿—欧拉方法建立了直线一级倒立摆系统的数学模型。采用MATLAB 分析了系统开环时倒立摆的不稳定性,运用根轨迹法设计了控制器,增加了系统的零极点以保证系统稳定。采用固高科技所提供的控制器程序在MATLAB中进行仿真分析,将电脑与倒立摆连接进行实时控制。在MATLAB中分析了系统的动态响应与稳态指标,检验了自动控制理论的正确性和实用性。 0.引言 摆是进行控制理论研究的典型实验平台,可以分为倒立摆和顺摆。许多抽象的控制理论概念如系统稳定性、可控性和系统抗干扰能力等,都可以通过倒立摆系统实验直观的表现出来,通过倒立摆系统实验来验证我们所学的控制理论和算法,非常的直观、简便,在轻松的实验中对所学课程加深了理解。由于倒立摆系统本身所具有的高阶次、不稳定、多变量、非线性和强耦合特性,许多现代控制理论的研究人员一直将它视为典型的研究对象,不断从中发掘出新的控制策略和控制方法。 本次课程设计中以一阶倒立摆为被控对象,了解了用古典控制理论设计控制器(如PID控制器)的设计方法和用现代控制理论设计控制器(极点配置)的设计方法,掌握MATLAB仿真软件的使用方法及控制系统的调试方法。 1.系统建模 一级倒立摆系统结构示意图和系统框图如下。其基本的工作过程是光电码盘1采集伺服小车的速度、位移信号并反馈给伺服和运动控制卡,光电码盘2采集摆杆的角度、角速度信号并反馈给运动控制卡,计算机从运动控制卡中读取实时数据,确定控制决策(小车运动方向、移动速度、加速度等),并由运动控制卡来实现该控制决策,产生相应的控制量,使电机转动,通过皮带带动小车运动从而保持摆杆平衡。 图1 一级倒立摆结构示意图

10进制加法计数器课程设计

西北师范大学知行学院 数字电子实践论文 课题:74ls161组成的十进制加法计数器 (置数法) 班级:14电本 学号:14040101114 姓名:于能海

指导老师:崔用明 目录 第1章前言 (1) 1.1 摘要 (1) 1.2 设计目的 (2) 1.3 设计内容及要求 (2) 第2章设计方案 (3) ....................................................................................................................... 错误!未定义书签。 2.1主要芯片功能介绍 (3) 2.2.1 四位二进制计数器74161介绍 (3) ............................................................................................................... 错误!未定义书签。 2.2 工作原理 (4) 第3章硬件设计 (4) 3.1 单元电路设计 (4) 3.2 总硬件电路图 (5) 第4章仿真与试验 (6) 4.1 仿真结果 (6) 4.2 调试中遇到的问题 (7) 第5章结论和体会 (8)

第1章前言 1.1 摘要在数字电路技术的课程中,计数器的功能是记忆脉冲的个数,它是数字系统中应用最广泛的基本时序逻辑构件。计数器在微型计算机系统中的主要作用就是为CPU和I/O设备提供实时时钟,以实现定时中断、定时检测、定时扫描、定时显示等定时控制,或者对外部事件进行计数。一般的微机系统和微机应用系统中均配置了定时器/计数器电路,它既可当作计数器作用,又可当作定时器使用,其基本的工作原理就是"减1"计数。计数器:CLK输入脉冲是一个非周期事件计数脉冲,当计算单元为零时,OUT输出一个脉冲信号,以示计数完毕。 本十进制加法计数器是基于74161芯片而设计的, 该十进制加法计数器设计理念是用于工厂流水线上产品计数,自动计数,方便简单。 关键词:74ls161计数器 Introduction In the course of digital circuit technology, the counter memory function is the number of pulses, it is a digital system, the most widely used basic sequential logic components. The main role of the counter in the micro-computer system is to provide real-time clock for the CPU and I / O devices to achieve the timer interrupt, timing detection, scheduled scanning, the timing display timing control, or to count external events. General computer systems and computer application systems are equipped with a timer / counter circuit, it can as a counter action, but also as a timer, the basic working principle is "minus 1" count. Counter: CLK input pulse is a non-periodic event count pulses to zero when calculating unit, OUT outputs a pulse signal, to show the count is completed. The decimal addition counter is designed based on the 74161 chip, the low potential sensor senses when to rely on external signals, sensors in an object within the sensing range, otherwise it is a high potential. Within the sensing range of the sensor when an object is moved out of date, sensor potential from high to low and then high, appears on the edge. Counter is automatically incremented and displayed on a digital control. The decimal addition counters have two seven-segment LED. It can count from 0 to 99 objects, and easy to expand. The design concept of decimal addition counter is used to count on a factory assembly line products, automatic counting, convenient and simple. Keywords:74ls161counter

基于89C51单片机的光控路灯设计

基于89C51单片机的光控路灯设计 指导老师:翁志刚 学生姓名:沈韦青葛宜兵任务:基于单片机条件下,设计一光控路灯模型。 要求:1、光照条件充足时,路灯保持熄灭状态,光照不足时,路灯自动开启照明。 2、使用器材:光敏电阻、模数转换器、单片机等。 3、电路简洁,制作原理图并要求仿真。 设计方案: 方案一

方案二 说明: 因为本课程设计的要求用单片机来实现光控路灯的设计,所以采用方案二,总体设计分为两个模块:主控模块和被控模块。主模块与被控模块之间通过单片机进行连接。 摘要: 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 路灯控制方式很多,本系统采用MSC-51系列单片机89C51和相关的光电检测设备来设计智能光控路灯控制器,实现了能根据实际光线条件通过89C51芯片的P1口控制路灯开关功能。随着社会文明的

不断发展,城市照明不仅局限于街道的照明,而且发展成了城市景观等装饰性照明的综合市政工程,社会对亮灯率、开关灯的准确率、故障检测的实时性和维修的及时性要求不断提高,利用51系列单片机可编程控制八位逻辑I、O端口实现路灯开关控制的智能化,达到节能、自动控制的目的。避免传统电路对能源的浪费,路灯的自动控制更方便管理,本系统实用性强,操作简单。 本文首先介绍了单片机及嵌入式系统的基本概念、特点和应用。描述了多功能基于51单片机的光控路灯的设计过程。详细说明了以51单片机为核心的软、硬件的研制过程和方法。利用proteus软件设计了电路原理图。完成光控路灯的设计。 一、引言: 随着社会经济的发展,城市照明设施的功能从单纯的以照明为主转变为实现美化环境、改善形象、活跃夜市经济的目的。对城市灯饰的管理与控制迫切需要一种科学、合理、高效的方法。因此,提供一种有效而合理的控制与管理的方法,对城市路灯与饰灯的运行状态进行智能监控显得极为重要。针对城市路灯这样“终端多、地域广、户外、分散、信息量不大”等特点,可以选择单片机智能光控的方式来解决。这样,既克服了传统的路灯控制方法、控制方式单一而无法满足实时监控和管理要求的弱点,又能适应现代城市队灯饰控制的要求。

单片机课程设计计算器

课程设计说明书 课程设计名称:单片机课程设计 课程设计题目:四位数加法计算器的设计学院名称:电气信息学院 专业班级: 学生学号:

学生姓名: 学生成绩: 指导教师: 课程设计时间:至

格式说明(打印版格式,手写版不做要求) (1)任务书三项的内容用小四号宋体,倍行距。 (2)目录(黑体,四号,居中,中间空四格),内容自动生成,宋体小四号。 (3)章的标题用四号黑体加粗(居中排)。 (4)章以下的标题用小四号宋体加粗(顶格排)。 (5)正文用小四号宋体,倍行距;段落两端对齐,每个段落首行缩进两个字。 (6)图和表中文字用五号宋体,图名和表名分别置于图的下方和表的上方,用五号宋体(居中排)。(7)页眉中的文字采用五号宋体,居中排。页眉统一为:武汉工程大学本科课程设计。 (8)页码:封面、扉页不占页码;目录采用希腊字母Ⅰ、Ⅱ、Ⅲ…排列,正文采用阿拉伯数字1、2、3…排列;页码位于页脚,居中位置。 (9)标题编号应统一,如:第一章,1,,……;论文中的表、图和公式按章编号,如:表、表……;图、图……;公式()、公式()。

课程设计任务书 一、课程设计的任务和基本要求 (一)设计任务(从“单片机课程设计题目”汇总文档中任选1题,根 据所选课题的具体设计要求来填写此栏) 1. 系统通过4x4的矩阵键盘输入数字及运算符。 2. 可以进行4位十进制数以内的加法运算,如果计算结果超过4位十进制数,则屏幕显示E。 3. 可以进行加法以外的计算(乘、除、减)。 4. 创新部分:使用LCD1602液晶显示屏进行显示,有开机欢迎界面,计算数据与结果分两行显示,支持小数运算。 (二)基本要求 1.有硬件结构图、电路图及文字说明; 2.有程序设计的分析、思路说明; 3.有程序流程框图、程序代码及注释说明; 4.完成系统调试(硬件系统可以借助实验装置实现,也可在Proteus 软件中仿真模拟); 5.有程序运行结果的截屏图片。

课程设计:六十进制计数器的设计

一、实验目的 1.进一步掌握VHDL语言中元件例化语句的使用 2.通过本实验,巩固利用VHDL语言进行EDA设计的流程 二、实验原理 1.先分别设计一个六进制和十进制的计数器,并生成符号文件2.利用生成的底层元件符号,设计六十进制计数器顶层文件 三、实验步骤 (略) 四、实验结果

六进制计数器源程序cnt6.vhd: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE. STD_LOGIC_UNSIGNED.ALL; ENTITY CNT6 IS PORT (CLK, CLRN, ENA, LDN: IN STD_LOGIC; D: IN STD_LOGIC_VECTOR(3 DOWNTO 0); Q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT: OUT STD_LOGIC); END CNT6; ARCHITECTURE ONE OF CNT6 IS SIGNAL CI: STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"; BEGIN PROCESS(CLK, CLRN, ENA, LDN) BEGIN IF CLRN='0' THEN CI<="0000"; ELSIF CLK'EVENT AND CLK='1' THEN IF LDN='0' THEN CI<=D; ELSIF ENA='1' THEN IF CI<5 THEN CI<=CI+1; ELSE CI<="0000"; END IF; END IF; END IF; Q<=CI; END PROCESS; COUT<= NOT(CI(0) AND CI(2)); END ONE;

2位数计算器程序-汇编语言课程设计

信息学院课程设计题目:2位数计算器程序设计 __ 姓名: __ _____ 学号: ____ ___ 班级: 课程:汇编语言 ________ 任课教师:侯艳艳 ____ 2011年12月

课程设计任务书及成绩评定

目录 摘要 (2) 1.设计目的………………………………………………………………………………………………?2 2.概要设计………………………………………………………………………………………………?3 2.1系统总体分析…………………………………………………………………………?3 2.2程序流程图 (3) 3.详细设计......................................................................................................? (4) 3.1主程序及子程序说明 (4) 3.2程序代码编写 (4) 4.程序调试 (6) 4.1运行界面分析 (6) 4.2算法的分析 (6) 4.3调试过程及分析 (6) 5.心得体会 (7) 5.1设计体会...................................................................................................? (7) 5.2系统改进...................................................................................................? (7) 参考文献 (8)

课程设计_光控计数器

课程设计 ------------光控计数器 学校:淮北师范大学信息学院 专业:电子信息科学与技术 姓名: 学号: 时间:2010-6-19

指导老师: 一章设计要求 本设计主要是利用光线的通断来统计进入实验室人数。要求设计两路光控电路.一路放置在门外.另一路设置在门里.当有人通过门口时(无论是进入或走出房间).都会先触发一个光控电路.再触发另一个光控电路.要求根据光控电路产生触发脉冲的先后顺序.判断来人是进入还是离开实验.当有人进入实验室时令计数器进行加计数.当有人离开实验室时进行减计数;要求计数器的最大计数容量为99人.并用数码管显示数字。 第二章系统组成及工作原理 2.1 系统组成 整个系统由五个部分组成:光控电路、触发脉冲、加减计数、显示译码和数码显示.其工作原理框图如下:

2.2 工作原理 首先由光控电路将接收的光信号转换为电信号.经施密特触发器整形触发脉冲.输出计数脉冲信号。再通过计数器和译码器.在LED数码显示管上显示数目的增加或减少.实现自动计数的功能。 第三章电路设计 3.1元器件介绍 3.1.1施密特触发器 经光电部分出来的波形是不规则的.需要经过施密特触发器进行整形。在此课题中选用的施密特触发器是555定时器。 3.1.2 555定时器

555定时器是一种应用极为广泛的中规模集成电路。它使用灵活、方便.只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器.广泛用于信号的产生、变换、控制和检测。在此课题中主要是用555定时器构成多谐振荡器.产生双D 触发器所需要的时钟脉冲。其引脚图如图一。 U 12L M 555C N G N D 1D I S 7O U T 3 R S T 4V C C 8 T H R 6C O N 5T R I 2 图一 3.1.3 双D 触发器 本课题中选用的是74LS74双D 触发器.用于和其它芯 片一起构成时序逻辑电路。由于74LS74是上升边沿触发的边沿D 触发器.电路结构是维特—阻塞型的.所以又称维特—阻塞触发器。它要求控制端D 的信号应超前CP 脉冲上升边沿2Tpd1时间建立.并要求在CP 脉冲触发边沿到来后继续维持1Tpd1时间(此处的Tpd1是TTL 门的平均传输延迟时间)。其中一个D 触发器引脚结构如图二。

Windows下的计算器设计说明书

课程设计说明书Windows环境下的计算器 学院名称:机械工程学院 专业班级:测控0901 学生姓名:李彧文 指导教师姓名:张世庆 指导教师职称:副教授 2011年6月

摘要

课程设计任务书 Windows环境下的计算器 一、课程设计题目:设计一个windows附件中所示的计算器 二、目的与要求: 1、目的: (1)要求学生达到熟练掌握C++语言的基本知识和C++调试技能; (2)基本掌握面向对象程序设计的基本思路和方法; (3)能够利用所学的基本知识和技能,解决简单的面向对象程序设计问题。 2、基本要求: (1)求利用面向对象的方法以及C++的编程思想来完成系统的设计; (2)要求在设计的过程中,对windows环境下的编程有一个基本的认识。 3、创新要求: 在基本要求达到后,可进行创新设计,如增加计算器的函数功能。 4、写出设计说明书 按照设计过程写出设计说明书。 三、设计方法和基本原理: 1、问题描述(功能要求): 要求所编写的计算器能够完成基本的加、减、乘、除运算,类似于Windows下附件中的计算器。 2、问题的解决方案(参考): 根据题目的要求,可以将问题解决分为以下步骤: (1)完成界面的设计,要求界面要美观实用; (2)添加成员变量和成员函数(消息映射函数); (3)利用结构化程序的设计思路完成按键的判断和数据的移位以及计算功能; (4)程序功能调试; (5)完成系统总结报告以及系统使用说明书。

四、程序设计和调试: 五、答辩与评分标准: 1、完成基本功能:40分; 2、设计报告及使用说明书:30分; 3、设置错误或者按照要求改变结果:15分; 4、回答问题:15分。

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

光控声控开关设计总结

题目:声控和光控开关设计 学院:电子工程学院 专业:应用电子技术2010年5月

一、设计电路原理图及工作原理 图1设计电路原理图 一、工作原理: 当麦克风作用时,其电阻变小,A点电压下降,相当于A点产生一个负脉冲,经三极管Q1和Q2放大,并给以555构成的单稳态触发器提供负脉冲,触发器翻转,进入暂稳态,输出端第3脚输出高电平,三极管Q4和Q5导通,开关闭合,在暂稳态期间,电源通过

电阻R8对电容C5充点,充电电压u C当升高到u C=2/3Vcc,触发器复位,暂稳态结束,触发器第3脚输出为低电平,555芯片内部三极管T1导通,电容C5通过T1放大,电路回复至稳定状态,开关断开。 当光照接收管时,其电阻变小,触发器输入端第2脚电压≤1/3Vcc,触发器翻转,进入暂稳态,其它工作原理同上。 其中,D1,C4,R9,Q3构成暂稳态自锁电路,工作过程:在暂稳态期间,输出端第3脚输出高电平,D1导通,三极管Q3饱和导通,其CE间导通电压很小,即B点电压很小,Q2保存截止,以防再次触发干扰暂稳态。 二极管D2作用是:当开关外接其它带有感性电路时,由于电感断电时产生反向电动势,此电动势通过二极管D2放电,其保护作用。 二、电路仿真及结果 1、仿真软件Multisim简要介绍 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 Multisim对电路进行仿真分析的基本过程,只是在绘电路图时还需要接入所需要的仪器仪表,构成完整的实验电路。在进行数字电路仿真或模拟电路瞬态分析时,不需要设置仿真类型和

单片机简易计算器课程设计

课程设计 题目名称简易计算器设计 课程名称单片机原理及应用 学生姓名 班级学号 2018年6 月20日

目录 一设计目的 本设计是基于51系列单片机来进行的简单数字计算器设计,可以完成计算器的键盘输入,进行加、减、乘、除六位整数数范围内的基本四则运算,并在LED上显示相应的结果。软件方面使用C语言编程,并用PROTUES仿真。 二总体设计及功能介绍 根据功能和指标要求,本系统选用MCS-51系列单片机为主控机,实现对计算器的设计。具体设计及功能如下: 由于要设计的是简单的计算器,可以进行四则运算,为了得到较好的显示效果,采用LED显示数据和结果; 另外键盘包括数字键(0~9)、符号键(+、-、×、÷)、清除键和等号键,故只需要16个按键即可,设计中采用集成的计算键盘; 执行过程:开机显示零,等待键入数值,当键入数字,通过LED显示出来,当键入+、-、*、/运算符,计算器在内部执行数值转换和存储,并等待再次键入数值,当再键入数值后将显示键入的数值,按等号就会在LED上输出运算结果。

三硬件仿真图 硬件部分比较简单,当键盘按键按下时它的那一行、那一列的端口为低电平。因此,只要扫描行、列端口是否都为低电平就可以确定是哪个键被按下。 四主程序流程图 程序的主要思想是:将按键抽象为字符,然后就是对字符的处理。将操作数分别转化为字符串存储,操作符存储为字符形式。然后调用compute()函数进行计算并返回结果。具体程序及看注释还有流程图 五程序源代码 #include #include #include/* isdigit()函数*/ #include/* atoi()函数*/ #define uchar unsigned char #define uint unsigned int

2位10进制加法计数器课程设计

目录 第1章前言 (1) 1.1 摘要 (1) 1.2 设计目的 (1) 1.3 设计内容及要求 (1) 第2章设计方案 (2) 2.1 系统框图 (2) 2.2主要芯片功能介绍 (2) 2.2.1 四位二进制计数器74161介绍 (2) 2.2.2七段显示译码器7448介绍 (3) 2.3 工作原理 (4) 第3章硬件设计 (5) 3.1 单元电路设计 (5) 3.2 总硬件电路图 (7) 第4章仿真与试验 (8) 4.1 仿真结果 (8) 4.2 调试中遇到的问题 (8) 第5章结论和体会 (9) 第6章参考文献 (10)

第1章前言 1.1 摘要 在数字电路技术的课程中,计数器的功能是记忆脉冲的个数,它是数字系统中应用最广泛的基本时序逻辑构件。计数器在微型计算机系统中的主要作用就是为CPU和I/O设备提供实时时钟,以实现定时中断、定时检测、定时扫描、定时显示等定时控制,或者对外部事件进行计数。一般的微机系统和微机应用系统中均配置了定时器/计数器电路,它既可当作计数器作用,又可当作定时器使用,其基本的工作原理就是"减1"计数。计数器:CLK输入脉冲是一个非周期事件计数脉冲,当计算单元为零时,OUT输出一个脉冲信号,以示计数完毕。 本十进制加法计数器是基于74161芯片而设计的,依靠传感器感应外界信号,传感器在感应范围内有物体时输出低电位,反之则是高电位。当传感器的感应范围内有物体移过时,传感器电位由高到低再到高,出现上跳沿。计数器会自动加一,并将在数码管上显示。本十进制加法计数器有两位七段数码管。可计数0~99个物体,并易于扩展。 该十进制加法计数器设计理念是用于工厂流水线上产品计数,自动计数,方便简单。 1.2 设计目的 1、综合运用相关课程中所学到的理论知识去独立完成某一设计课题; 2、学习用集成触发器构成计数器的方法; 3、进一步熟悉常用芯片和电子器件的类型及特性,并掌握合理选用器件的原则; 5、初步了解电路设计、仿真的过程和方法; 4、锻炼分析问题解决问题的能力; 1.3 设计内容及要求 1、具有2位10进制计数功能; 2、利用传感器,不接触计数; 3、每一个物体经过,计数器自动加1; 4、具有显示功能; 5、并用相关仿真软件对电路进行仿真。

计算机课程设计说明书(C++,包括代码)

数学与计算机学院 课程设计说明书 课程名称: 面向对象程序设计-课程设计课程代码: 题目: 计算器 年级/专业/班: 学生姓名: 学号: 开始时间:2011 年 5 月28日 完成时间:2011 年6月 27 日 课程设计成绩: 学习态度及平时成绩(30)技术水平与实际 能力(20) 创新(5)说明书撰写质量(45) 总分 (100) 指导教师签名:年月日 目录 1 引言 (1) 1.1问题的提出 (1) 1.2任务与分析 (1)

2.1加法功能 (2) 2.2减法功能 (2) 2.3乘法功能 (2) 2.4除法功能 (2) 2.5开平方功能 (2) 2.6四则混合运算功能 (2) 2.7显示功能 (2) 3 程序运行平台 (3) 4 总体设计 (3) 5 程序类的说明 (4) 6 模块分析 (6) 6.1加法模块 (6) 6.2减法模块 (7) 6.3乘法模块 (8) 6.4除法模块 (10) 6.5开方模块 (11) 6.6求余模块 (13) 6.7四则混合运算模块 (14) 7 系统测试 (22) 8 结论 (27)

参考文献 (28) 摘要 本课程设计是为了实现一个简单计算器,该计算器类似于windows附件中自 带的计算器。分析了现在人们对数据的处理需求,利用系统平台Windows 2000XP, 程序设计语言采用面向对象程序设计语言C++,利用Visual C++编程实现了该系 统。该系统具有数据录入,数据修改,数据处理,数据显示等功能。用户根据系

统界面提示,输入需要处理的数据,系统根据要求实现加、减、乘、除以及开方等功能。 关键词:计算器;程序设计;C++

音乐数字彩灯控制器课程设计说明书

目录 引言 (2) 1.设计意义与要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2.方案设计 (4) 2.1 设计思路 (4) 2.2 方案设计 (5) 2.2.1 方案一 (5) 2.2.2方案二 (6) 2.3 方案比较 (7) 3. 部分电路设计(方案一) (8) 3.1芯片逻辑功能介绍 (8) 3.2脉冲信号电路 (14) 3.3 循环电路 (16) 3.4 选通电路图 (20) 3.4 显示电路 (21) 4、调试与检测 (22) 4.1 调试中故障与解决办法 (22) 4.2 调试与运行结果 (22) 仿真操作步骤及使用说明 (23) 5.1各部件说明: (23) 5.2操作说明: (23) 结束语 (24) 参考文献 (25) 附录音乐数字彩灯控制器电路图 (26)

引言 作为一位工科学生,特别是学自动化的学生,学习的不仅仅是专业知识,用科学知识来武装自己,更加注重的是思维模式,以及动手操作能力。随着社会的发展,自动化技术不断提高,我们所学习的自动化技术知识也将得到更广泛的应用。 数字电路技术是本次课程设计的基础,在完成了《数字电路基础》课程教学后,此次的课程设计是对基础知识的一个实践操作。在设计过程中,我们可以充分利用我们学过的基础知识,运用学过的芯片的功能,达到学以致用。 我们在此次课程设计中,我们所选的是“音乐数字彩灯控制器设计”,我们依照自己所学的基础知识,来弄清原理,画出电路图,然后仿真,已达到将自己所学的知识具体化。 通过课程设计,我们初步学习到将自己所学的专业知识运用到实际生活中,学会如何去发现问题,解决问题;知道如何去快速且集中去解决实际问题,高效率的去完成既定任务。同时,在此次课程设计中,也培养了我们的耐心细心以及恒心,锻炼我们的能力。

十进制加法计数器

十进制加法器设计 1课程设计的任务与要求 课程设计的任务 1、综合应用数字电路知识设计一个十进制加法器。了解各种元器件的原理及其应用。 2、了解十进制加法器的工作原理。 3、掌握multisim 软件的操作并对设计进行仿真。 4、锻炼自己的动手能力和实际解决问题的能力。 5、通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握十进制加法器的设计方法。 课程设计的要求 1、设计一个十进制并运行加法运算的电路。 2、0-9十个字符用于数据输入。 3、要求在数码显示管上显示结果。 2十进制加法器设计方案制定 加法电路设计原理 图1加法运算原理框图 如图1所示 第一步 置入两个四位二进制数。例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。

第二步将置入的数运用加法电路进行加法运算。 第三步前面所得结果通过另外两个七段译码器显示。即: 加法运算方式,则(1000)2+(0110)2=(1110)2 十进制8+6=14 并在七段译码显示出14。运算方案 通过开关S1——S8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U8和U9分别显示所置入的两个数。数A直接置入四位超前进位加法器74LS283的A4——A1端,74LS283的B4——B1端接四个2输入异或门。四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关S5——S8,通过开关S5——S8控制数B的输入,通过加法器74LS283完成两个数A和B的相加。由于译码显示器只能显示0——9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)2时加上3(0011)2,产生的进位信号送入译码器U10来显示结果的十位,U11显示结果的个位。 3十进制加法器电路设计 加法电路的实现 用两片4位全加器74LS283和门电路设计一位8421BCD码加法器。由于一位8421BCD 数A加一位数B有0到18这十九种结果。而且由于显示的关系,当大于9的时候要加六转换才能正常显示,所以设计的时候有如下的真值表:

光电计数器的设计

光电计数器的设计

景德镇陶瓷学院 电子电路CAD课程设计课题名称光敏计数器 所在院系机电学院 班级13自动化2班 学号201310320210 姓名董儒诚 指导老师刘蜀阳 时间2015年12月30日

光敏计数器 设计一种自动计数的装置。在学习了脉冲数字电路的基础上,利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能 目录 前言 (1) 第一章设计要求 (2) 1.1 基本要求 (2) 1.2 提高要求 (2)

第二章系统组成及方案设计 (3) 2.1 系统组成 (3) 2.1 方案一 (3) 2.2 方案二 (4) 2.3 方案比较与选择 (5) 第三章单元电路的工作原理 (6) 3.1 光电转换电路 (6) 3.2 计数电路 (6) 3.3 报警电路 (8) 3.4 电路仿真 (10) 第四章组装及测试结果与分析 (10) 4.1 焊接是特别要注意的几点: (10) 4.2 测试 (10) 4.3 结果 (10) 第五章总结 (11) 附录一元件清单 (13) 附录二总电路图 (14) 参考文献 (15)

前言 21世纪是信息时代,是获取信息,处理信息,运用信息的时代。传感器是获取信息并对信息进行必要处理的基础技术,是获取信息和处理加工信息的手段,无法获取信息则无法运用信息。基于光电效应的传感器,光电式传感器在受到可见光照射后即产生光电效应,将光信号转换成电信号输出。它除能测量光强之外,还能利用光线的透射、遮挡、反射、干涉等测量多种物理量,如尺寸、位移、速度、温度等,因而光电式传感器是一种应用极广泛的重要敏感器件。光电计数器的设计是一种比较初级的利用光电传感器发出信号脉冲进行计数的一种简单光电系统。 光电计数器可完成小型的计数功能,可应用于小教室和小餐厅等小容量的场所,进行相应的计数功能,具有很大的实用价值。工业生产中常常需要自动统计产品的数量,计数器在这里有其用武之地。数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种。本次设计的光电计数器为非接触式计数器中的一种。 在该光电计数器中,计数的过程其实是获取脉冲源的过程,首先遮挡物遮挡光电传感器产生一下降沿,拿掉遮挡物产生一个上升沿,使计数器得到一个脉冲进行计数。之后计数器输出一个信号输入到译码器中,由译码器控制数码管的显示,数码管最大显示99。计数范围为一百,可以预设计数数目,当计数达到设定后,停止计数并报警,可手动解除报警。 整个电路的设计先借助于仿真软件proteus仿真,得到了预期的结果,而后依据仿真图在实验室焊接、调试,实现了预定的功能。 1

相关主题
文本预览
相关文档 最新文档