当前位置:文档之家› 第+12+章+正交编码与伪随机序列_习题

第+12+章+正交编码与伪随机序列_习题

伪随机码生成器

M序列发生器 M序列是最常用的一种伪随机序列,是一种线性反馈移位寄存器序列的简称。带线性反馈逻辑的移位寄存器设定各级寄存器的初试状态后,在时钟的触发下,每次移位后各级寄存器状态都会发生变化。其中一级寄存器(通常为末级)的输出,随着移位寄存器时钟节拍的推移会产生下一个序列,称为移位寄存器序列。他是一种周期序列,周期与移位寄存器的级数和反馈逻辑有关。 以4级移位寄存器为例,线性反馈结构如下图: 4级以为寄存器反馈图 其中a4=a1+a0

信号a4:a0禁止出现全0,否则将会出现全0,序列不变化。实验仿真 Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity random_4 is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC;

din : in STD_LOGIC_VECTOR (3 downto 0); dout : out STD_LOGIC_VECTOR (3 downto 0); load : in STD_LOGIC); end random_4; architecture Behavioral of random_4 is signal rfsr :std_logic_vector(3 downto 0); --signal temp:std_logic; begin process(clk,reset,load,din) begin if (reset ='1') then rfsr <=(others =>'0'); elsif (clk' event and clk='1') then if(load ='1') then ----load =1 rfsr<= din; else rfsr(3) <= rfsr(0) xor rfsr(1); rfsr(2 downto 0) <= rfsr(3 downto 1); end if; end if; end process; ------signal rename----

(完整版)伪随机序列的毕业设计

摘要 本毕业设计主要介绍了两种常用的反馈移位寄存器序列(m序列和Gold序列)的特性,并对其进行仿真研究。 伪随机序列良好的随机性和接近于白噪声的相关函数,使其易于从信号或干扰中分离出来。伪随机序列的可确定性和可重复性,使其易于实现相关接收或匹配接收,因此有良好的抗干扰性能。伪随机序列的这些特性使得它在伪码测距、导航、遥控遥测、扩频通信、多址通信、分离多径、数据加扰、信号同步、误码测试、线性系统、各种噪声源等方面得到了广泛的应用,特别是作为扩频码在CDMA系统中的应用已成为其中的关键问题。 在本论文中首先简要阐述了伪随机序列的研究现状及其相关意义,接着介绍了伪随机序列的发展历史,研究方法和研究工具。然后分别对m序列和Gold序列这两种常用的伪随机序列的生成过程、随机特性以及相关特性进行了详细的研究,并分析它们的优点以及存在的问题。最后在理论证明的基础上应用MATLAB仿真验证它们的随机特性,并用仿真做出m 序列和Gold序列相关特性图形并加以比较。 关键词:伪随机序列;m序列;Gold序列;相关;

ABSTRACT Matlab software used extensively in many engineering fields due to its strong operation fanction. To expanding or compressing the signal spectrum in spread spectrum system,the signal is generally multipled by a spread Spectrum sequence. The character of spread spectrum sequence significantly affects the communication quality. In all PN sequences, m-sequence and Gold-sequence are often used asspread spectrum sequence. In this paper, the brief introduction of the theory, property and constructing means of the two sequences are given first, and the generation and analysis of them by programming with M language in MATLAB are given later. The simulation results show the correctness and feasibility of this method.The simple and intuitive method is convenient for the engineering personnel. KEYWORDS:PN sequence; Sequence; Spread spectrum sequence 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除

伪随机码发生器设计

伪随机码发生器设计 1 引言 随着科学技术的进步,现代战争样式向信息战形式发展。现代战争胜负对于信息获取的依赖程度前所未有的提高。在现代战争中,若己方的通讯交流方式早敌军破获,则地方将获取己方部队动向或实施信息干扰。将会使部队陷入极其危险地境地中。因此,信息战对通讯加密手段的要求极高。 伪随机序列(Pseudonoise Sequence)又称伪噪声或伪随机码,具有类似随机信号的一些统计特性,但又是有规律的,容易产生和复制的。最大长度线性移位寄存器序列(m序列)是保密通信中非常重要的一种伪随机序列,它具有随机性、规律性及较好的自相关和互相关性,而且密钥量很大。利用m序列加密数字信号,使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号。这样,通过对m序列的应用,将大大的提高通讯的保密程度和防窃取能力。这样的通讯手段被称为扩展频谱通信 扩展频谱通信(Spread Spectrum Communication)是将待传送的信息数据被伪随机编码也就是扩频序列调制,实现频谱扩展以后再在信道中传输,接收端则采用与发送端完全相同的编码进行解调和相关处理,从而恢复出原始的信息数据。在这其中,伪随机码发生器是十分重要的一环,是对信息加密的核心器件。m序列伪随机码发生器即使通过m序列的方式对信息数据编码。 本系统所设计的伪随机码发生器,产生m序列伪随机码。系统采用AT89S51单片机作为控制芯片,控制使用LCD12864显示处理器产生的m序列伪随机码,并且可通过按键对参数修改,设置初始码及m 序列长度。单片机根据设定的初始码及m序列长度,按照约定的逻辑运算关系,循环往复的产生0或者1。 2 发生器系统设计 2.1总体设计 系统分为信息处理、实时显示和按键修改共五大模块。 系统总体结构框图如图1所示:

樊昌信《通信原理》(第7版)名校考研真题(正交编码与伪随机序列)【圣才出品】

第12章 正交编码与伪随机序列 一、填空题 扩频通信能够有效______外系统引起的______干扰和无线信道引起的______干扰,但是它在______加性高斯白噪声方面的能力等同于______系统。[北邮2006研;南京大学2010研] 【答案】抑制;窄带;多径;非扩频 【解析】扩频系统具有抗窄带干扰、多址干扰和多径干扰的能力,扩频系数N 越大,抗干扰性能越强。 二、简答题 1.简述m 序列特点是什么?根据特征多项式f (x )=x 4+x +1,画出m 序列产生器。 [南邮2009研] 答:(1)m 序列特点 ①均衡性:0的数目与1的数目基本相同; ②游程分布:长度为k 的游程数目出现的概率为 12k ; ③自相关函数:仅有两种取值(1和-1/m ); ④功率谱密度:00,m T T →∞→∞时,近似于白噪声特性;

⑤移位相加性:p q g M M M ⊕=,其中,,p q M M 是任意次延迟产生的序列且 p q M M ≠。 (2)m 序列产生器如图12-1所示。 图12-1 m 序列产生器 2.已知线性反馈移存器序列的特征多项式为f (x )=x 3+x +1,求此序列的状态转移图,并说明它是否是m 序列。[北京交通大学2005研] 解:该序列的发生器逻辑框图如图12-2所示 图12-2 定义状态为矢量s =(s 1,s 2,s 3),假设起始状态是100,则状态转移图如图12-3所示 图12-3

由于其周期P=23-1=7,而三级线性移位存储器所能产生的周期最长的序列为7,所以此序列为m序列。 三、计算题 一直接序列扩频通信系统如图12-4所示。图中d(t)=是幅度为±1的双极性NRZ信号,脉冲g(t)在t∈[0,T]之外为0。{a n}是独立等概的信息序列。T是码元间隔。C(t)是由一个m序列形成的幅度为±1的双极性NRZ信号。该m 序列的码片速率为整数L是扩频因子。m序列的特征多项式是f(x)=1+x+x4。载波f c满足f c T1。发端产生的扩频信号经过信道时叠加了一个双边功率谱密度为N0/2的白高斯噪声,n w(t)。接收端使用同步载波进行解调,并使用同步的m序列进行解扩。对于第k个发送的码元,接收端在[kT,(k+1)T]时间内进行相关积分后得到判决量r b,再通过过零判决得到输出。 图12-4 (1)请写出m序列的周期ρ,画出产生此m序列的电路逻辑框图; (2)写出图12-4中A、B、C点信号的主瓣带宽;

实验一 伪随机码发生器实验

实验一伪随机码发生器实验 电科1103 杨帆 3110104337 一、实验目的 1、掌握伪随机码的特性。 2、掌握不同周期伪随机码设计。 3、用基本元件库和74LS系列元件库设计伪随机码。 4、了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、熟悉通信原理实验板的结构。 二、实验仪器 1、计算机一台 2、通信基础实验箱一台 3、100MHz示波器一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源; 扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方 面: 1、由n级移位寄存器产生的伪随机序列,其周期为-1; 2、信码中“0”、“1”出现次数大致相等,“1”码只比“0”码多一个; 3、在周期内共有-1游程,长度为i 的游程出现次数比长度为i+1的游程出现 次数多一倍; 例如:四级伪码产生的本原多项式为X 4 +X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。

在MAXPLUS II 环境下输入上述电路,其中:dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚

正交编码与伪随机序列

正交编码与伪随机序列

————————————————————————————————作者: ————————————————————————————————日期: ?

3. 正交编码与伪随机序列 在数字通信中,正交编码与伪随机序列都是十分重要的技术。正交编码不仅可以用作纠错编码,还可用来实现码分多址通信。伪随机序列在误码率测量、时延测量、扩频通信、通信加密及分离多径等方面有十分广泛的应用。 3.1. 正交编码 一、几个概念 1、互相关系数 设长为n的编码中码元只取+1、-1,x 和y是其中两个码组 )...,(21n x x x x =,)...,(21n y y y y =,其中)1,1(,-+∈i i y x 则x、y 间的互相关系数定义为 ∑==n i i i y x n y x 1 1),(ρ 如果用0表示+1、1表示-1,则 D A D A y x +-= ),(ρ,其中A 是相同码元的个数,D 为不同码元的个数。 2、自相关系数 自相关系数定义为:∑=+=n i j i i x x x n j 1 1)(ρ,其中下标的计算按模n 计算。 3、正交编码 若码组C y x ∈?,,(C 为所有编码码组的集合)满足0),(=y x ρ,则称C 为正交编码。即:正交编码的任意两个码组都是正交的。 例1:已知编码的4个码组如下: )1,1,1,1();1,1,1,1();1,1,1,1();1,1,1,1(4321--=--=--=++++=S S S S 试计算1S 的自相关系数、21,S S 的互相关系数。 4、超正交编码 若两个码组的互相关系数0<ρ,则称这两个码组互相超正交。如果一种编码中任何两个码组间均超正交,则称这种编码为超正交编码。 例2:例1中取后三个码组,且去掉第1位构成的编码为超正交编码。 (0,1,1),(1,1,0)(1,0,1) 5、双正交编码 由正交编码及其反码便组成双正交编码。

扩频系统使用的伪随机码PN码

第六章 扩频系统使用的伪随机码(PN 码) 在扩展频谱系统中,常使用伪随机码来扩展频谱。伪随机码的特性,如编码类型,长度,速度等在很大程度上决定了扩频系统的性能,如抗干扰能力,多址能力,码捕获时间。 6.1 移位寄存器序列 移位寄存器序列是指由移位寄存器输出的由“1”和“0”构成的序列。相应的时间波形是指由“1”和“-1”构成的时间函数,如图6-1所示。 图6-1 (a )移位寄存器序列 (b )移位寄存器波形 移位寄存器序列的产生如图6-2 。主要由移位寄存器和反馈函数构成。移位寄存 器内容为),,,(21n x x x f 或1,反馈函数的输入端通过系数与移位寄存器的各级状态相联()(1)(0通或断=i c )输出通过反馈线作为1x 的输入。移位寄存器在时钟的作用下把反馈函数的输出存入1x ,在下一个时钟周期又把新的反馈函数的输出存入1x 而把原1x 的内容移入2x ,依次循环下去,n x 不断输出。 根据反馈函数对移位寄存器序列产生器分类: (1) 线性反馈移位寄存器序列产生器(LFSRSG ):如果),,(1n x x f 为n x x ,,1 的模2加。 (2) 非线性反馈移位寄存器序列产生器(NLFSRSG ):如果),,(1n x x f 不是n x x ,,1 的 模2加。 例1: LFSRSG :n=4,4314321),,,(x x x x x x x f ⊕⊕= (a) 图6-2 移位寄存器序列生成器

共16个不同状态,1111,0000为死态,每个状态只来自一个前置态。 例2: LFSRSG :n=4,4143214321),,,(,1,0,0,1x x x x x x f c c c c ⊕===== 设初态为:1,1,1,14321====x x x x ,则移位寄存器状态转移图如下:

伪随机序列

太原理工大学现代科技学院 移动通信技术课程实验报告 专业班级 学号 姓名 指导教师

实验名称 伪随机序列 同组人 专业班级 学号 姓名 成绩 一、 实验目的 掌握数字锁相环的组成、工作原理及在位同步恢复中的应用。 通过本实验掌握m 序列的特性、产生方法及应用。 通过本实验掌握Gold 序列的特性、产生方法及应用,掌握Gold 序列与m 序列的区别. 二、 实验内容 1、观察位同步电路信号波形及特性。 2、观察数字锁相环提取位同步的相位抖动 。 3、观察m 序列,识别其特征。 4、观察m 序列的自相关特性。 5、观察Gold 序列,识别其特征。 6、观察Gold 序列的自相关特性及互相关特性。 三、 实验原理 1数字基带信号本身是否含有位同步信息与其码型有密切关系。二进制基带信号中的位同步离散谱分量是否存在,取决于二进制基带矩形脉冲信号的占空比。若单极性二进制矩形脉冲信号的码元周期为T s ,脉冲宽度为τ,则NRZ 码的τ=T s ,则NRZ 码除直流分量外不存在离散谱分量,即没有位同步离散谱分量1/T s ;RZ 码的τ满足0<τ

实验一伪随机码发生器实验

实验一 伪随机码发生器实验 一、实验目的 1、 掌握伪随机码的特性。 2、 掌握不同周期伪随机码设计。 3、 用基本元件库和74LS系列元件库设计伪随机码。 4、 了解ALTERA公司大规模可编程逻辑器件EPM7128SLC84内部结构和应用。 5、 学习FPGA开发软件MAXPLUSⅡ,学习开发系统软件中的各种元件库应用。 6、 熟悉通信原理实验板的结构。 二、实验仪器 1、 计算机 一台 2、 通信基础实验箱 一台 3、 100MHz 示波器 一台 三、实验原理 伪随机码是数字通信中重要信码之一,常作为数字通信中的基带信号源;扰码;误码测试;扩频通信;保密通信等领域。伪随机码的特性包括四个方面: 1、 由n 级移位寄存器产生的伪随机序列,其周期为-1; n 2 2、 信码中“0”、“1” 出现次数大致相等,“1”码只比“0”码多一个; 3、 在周期内共有-1游程,长度为 i 的游程出现次数比长度为 i+1的 游程出现 次数多一倍; n 24、 具有类似白噪声的自相关函数,其自相关函数为: ()() ?? ? ?≤≤=??=2 210 12/11n n τττρ 其中n 是伪随机序列的寄存器级数。 例如:四级伪码产生的本原多项式为X 4+X 3+1。 利用这个本原多项式构成的4级伪随机序列发生器产生的序列为: 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 相应的波形图如图1-1所示:

图1-1 四级伪随机序列波形图 用4个D 触发器和一个异或门构成的伪码发生器具有以下特性: 1、 周期为24-1=15; 2、 在周期内“0”出现24 -1-1=7次,“1”出现24 -1=8次; 3、 周期内共有24 -1 =8个游程; 4、 具有双值自相关特性,其自相关系数为: ????≤≤??==2 21)12(10 14 4τ / τ ρ(τ) 四、实验内容及步骤 1、在MAXPLUSⅡ设计平台下进行电路设计 1.1 四级伪随机码发生器电路设计 电路原理图如图1-2所示。 图1-2 四级伪随机码电路原理图 在MAXPLUS II 环境下输入上述电路,其中: dff ------ 单D触发器 xor ------ 二输入异或门 nor4 ------ 四输入或非门 not ------ 反相器 clk ------ 时钟输入引脚(16M时钟输入) 8M ------ 二分频输出测试点引脚 nrz ------ 伪随机码输出引脚 1.2 实验电路编译及FPGA 引脚定义 完成原理图输入后按以下步骤进行编译: (1) 在Assign Device 菜单选择器件MAX7128SLC84。

伪随机序列

伪随机序列 扩频通信技术在发送端以扩频码进行扩频调制,在接收端以相关解扩技术进行收信,这一过程使其具有诸多优良特性,即抗干扰性能好、隐蔽性强、干扰小、易于实现码分多址等。 扩频调制即是将扩频码与待传输的基带数字信号进行模二叠加(时域相乘)。扩频调制后的信号还需经过载波调制后才可发送至信道。而接收端则采用相干解扩和解调,恢复出原始数据信息,以达到抑制干扰的目的。 扩频调制是通过伪随机码或伪随机序列来实现的。从理论上讲,用纯随机序列来扩展信号的频谱是最重要的,但是接收端必须复制同一个伪随机序列,由于伪随机序列的不可复制性,因此,在工程中,无法使用纯随机序列,而改为采用伪随机序列。 各类扩频通信系统都有伪随机编码序列,而且具有良好随机特性和相关特性的扩频编码对于扩频通信是至关重要的,对扩频通信的性能具有决定性的重要作用。在扩频通信系统中,抗干扰、抗截获、信息数据隐蔽和保密、多径保护和抗衰落、多址通信、实现同步捕获等都与扩频编码密切相关。能满足上述要求的扩频编码应具有如下的理想特性: (1)有尖锐的自相关特性; (2)有处处为零的互相关; (3)不同码元数平衡相等; (4)有足够的编码数量; (5)有尽可能大的复杂度。 m序列 m序列是最长线性移位寄存器序列的简称。顾名思义,m序列是由多级移位寄存器或其延迟元件通过线性反馈产生的最长的码序列。在二进制移位寄存器中,若n为移位寄存器的级数,n级移位寄存器共有2n个状态,除去全零状态外,还剩下2n-1种状态,因此它能产生最大长度的码序列为2n-1位。故m序列的线性反馈移位寄存器称做最长线性移位寄存器。 产生m序列的移位寄存器的电路结构,即反馈线连接不是随意的,m序列的

樊昌信《通信原理》(第7版)课后习题(正交编码与伪随机序列)【圣才出品】

第12章正交编码与伪随机序列 思考题 12-1 何谓正交编码?什么是超正交码?什么是双正交码? 答:(1)几个码组中任意两者之间的相关系数为零,即这些码组两两正交,把这种两两正交的编码称为正交编码。 (2)如果一种编码中任意两码组间均超正交,则称这种编码为超正交码。 (3)由正交码和其反码构成的码称为双正交码。 12-2 何谓阿达玛矩阵?它的主要特性如何? 答:(1)定义:每一行(或列)都是一个正交码组的矩阵称为阿达玛矩阵。 (2)特性:仅由元素+1和-1构成,交换任意两行,或交换任意两列,或改变任一行中每个元素的符号,或改变任一列中每个元素的符号,都不会影响矩阵的正交性质。 12-3 何谓m序列? 答:m序列是指由带线性反馈的位移寄存器产生的周期最长的序列,是最长线性反馈位移寄存器序列的简称。 12-4 何谓本原多项式?

答:若一个几次多项式f(x)满足下列条件: (1)f(x)为既约的; (2)f(x)可整除(x m+1),m=2n-1; (3)f(x)除不尽(x q+1),q

伪随机序列

《通信信号处理》专题 姓名:杨晶超 学号:s2*******

目录 1 伪随机序列的概念 2 伪随机序列的相关函数 3 m序列 ? 3.1 m序列的定义 ? 3.2 m序列的构造 ? 3.3 m序列的性质 ? 3.4 m序列的相关性 4 M序列 5 Gold序列 ? 5.1 m序列优选对 ? 5.2 Gold序列的产生方法 ? 5.3 Gold序列的相关特性 6 伪随机序列的应用 ? 6.1 扩展频谱通信 ? 6.2 码分多址(CDMA)通信 ? 6.3 通信加密 ? 6.4 误码率的测量 ? 6.5 数字信息序列的扰码与解扰? 6.6 噪声产生器 ? 6.7 时延测量

1 伪随机序列的概念 扩频系统的扩频运算是通过伪随机序列来实现的。从理论上来讲,用纯随机序列来扩展信号的频谱是最理想的,但是接收端必须复制同一个随机序列,由于随机序列的不可复制性,因此在工程中,无法使用纯随机序列,而改为采用伪随机序列。 随机序列通信的基本理论源于香农的编码定理。香农编码定理指出:只要信息速率R d 小于信道容量C ,则总可以找到某种编码方法,使得在码字相当长的条件下,能够几乎无差错地从高斯白噪声干扰的信号中恢复出原发送的信号。 伪随机序列应当具有类似理想随机序列的性质。在工程上常用二元{0,1}序列来产生伪随机序列,它具有以下三个特点: (1)随机序列中的“0”的个数和“1”的个数接近相等; (2)随机序列中长度为1的游程约占游程总数的1/2,长度为2的游程约占游程总数的(1/2)2,长度为3的游程约占游程总数的(1/2)3…… 在同长度的游程中,“0”的游程数和“1”的游程数大致相等; (3)随机序列的自相关函数具有类似白噪声自相关函数的性质。 2 伪随机序列的相关函数 (1) 凡自相关函数满足 ()1 201011,011,0N i i a N i i j i a j N R j a a j N N -=-+=?==??=??=-≠??∑∑ 则为狭义伪随机序列。

伪随机码

课程设计任务书 学生姓名:周成浩专业班级:电信1404 指导教师:苏杨工作单位:信息工程学院 题目:伪随机序列的产生及应用设计 初始条件: 具备通信课程的理论知识;具备模拟与数字电路基本电路的设计能力;掌握通信电路的设计知识,掌握通信电路的基本调试方法;自选相关电子器件;可以使用实验室仪器调试。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、设计伪随机码电路:产生八位伪随机序列(如M序列、Gold序 列等); 2、了解D/A的工作原理及使用方法,将伪随机序列输入D/A中(如 DAC0808),观察其模拟信号的特性; 3、分析信号源的特点,使用EWB软件进行仿真; 4、安装和调试整个电路,并测试出结果; 5、进行系统仿真,调试并完成符合要求的课程设计书。 时间安排: 一周,其中3天硬件设计,2天硬件调试 指导教师签名:年月 系主任(或责任教师)签名:年月日

摘要 伪随机序列具有良好的随机性和接近于白噪声的相关函数,使其易于从信号或干扰中分离出来。伪随机序列的可确定性和可重复性,使其易于实现相关接收或匹配接收,因此有良好的抗干扰性能。伪随机序列的这些特性使得它在伪码测距、导航、遥控遥测、扩频通信、多址通信、分离多径、数据加扰、信号同步、误码测试、线性系统、各种噪声源等方面得到了广泛的应用。此次课设根据m序列、M序列的产生原理,利用1片74LS164或2片74LSl94级联加少量分立元件,采用手动置数和自启动2种方法设计了3种长度为255位的m序列发生器和256位M序列发生器。 关键词:伪随机码;m序列;M序列;移位寄存器;D/A转换

伪随机序列

目录 伪随机序列 (2) 1 基本原理 (2) 1.1 背景 (2) 1.2 实现原理 (2) 2 实现方式 (3) 3 FPGA的实现 (5) 3.1 设计思路 (5) 3.2 代码实现分析 (5) 3.2.1斐波那契方式 (5) 3.2.2伽罗瓦方式 (9) 4 总结 (12)

伪随机序列 1 基本原理 1.1 背景 随着通信技术的发展,在某些情况下,为了实现最有效的通信应采用具有白噪声统计特性的信号;为了实现高可靠的保密通信,也希望利用随机噪声;另外在测试领域,大量的需要使用随机噪声来作为检测系统性能的测试信号。然而,利用随机噪声的最大困难是它难以重复再生和处理。伪随机序列的出现为人们解决了这一难题。伪随机序列具有类似于随机噪声的一些统计特性,同时又便于重复产生和处理,有预先的可确定性和可重复性。由于它的这些优点,在通信、雷达、导航以及密码学等重要的技术领域中伪随机序列获得了广泛的应用。而在近年来的发展中,它的应用范围远远超出了上述的领域,如计算机系统模拟、数字系统中的误码测试、声学和光学测量、数值式跟踪和测距系统等也都有着广阔的使用。 伪随机序列通常由反馈移位寄存器产生,又可分为线性反馈移位寄存器和非线性反馈移位寄存器两类。由线性反馈移位寄存器产生出的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器,即为通常说的m序列,因其理论成熟,实现简单,应用较为广泛。 m序列的特点: (1)每个周期中,“1”码出现2n-1次,“0”码出现2n-1次,即0、1出现概率几乎相等。 (2)序列中连1的数目是n,连0的数目是n-1。 (3)分布无规律,具有与白噪声相似的伪随机特性。 1.2 实现原理 在二进制多级移位寄存器中,若线性反馈移位寄存器(LFSR)有n 阶(即有n级寄存器),则所能产生的最大长度的码序列为2n-1位。如果数字信号直接

伪随机序列matlab仿真

MATLAB实验报告 姓名:李金玮 学号:14061114 班级:14184111

实验七伪随机序列的产生与相关特性分析 一、实验目的 1、了解伪随机序列的相关知识。 2、了解m 序列的相关知识,了解其相关性质。 3、学会用matlab 实现方框图描述的系统,并由此产生m 序列。 二、相关理论知识 (1)伪随机序列 伪随机序列, 又称伪随机码, 是一种可以预先确定并可以重复地产生和复制, 又具有随机统计特性的二进制码序列。在现代工程实践中, 伪随机信号在移动通信、导航、雷达和保密通信、通信系统性能的测量等领域中有着广泛的应用。例如,在连续波雷达中可用作测距信号, 在遥控系统中可用作遥控信号, 在多址通信中可用作地址信号, 在数字通信中可用作群同步信号, 还可用作噪声源以及在保密通信中的加密作用等。伪随机发生器在测距、通信等领域的应用日益受到人们重视。 伪随机信号与随机信号的区别在于: 随机信号是不可预测的, 它在将来时刻的取值只能从统计意义上去描述;伪随机序列实质上不是随机的, 而是收发双方都知道的确定性周期信号。之所以称其为伪随机序列, 是因为它表现出白噪声采样序列的统计特性, 在不知其生成方法的侦听者看来像真的随机序列一样。m 序列作为一种基本的PN 序列,具有很强的系统性、规律性和相关性。 (2)m 序列的产生 ①线性反馈移位寄存器 m 序列发生器的系统框图如图。其中加法器为“模2 相加”运算,寄存器与反馈的每一位只有1、0 两种状态。

由于带有反馈,因此在移位脉冲作用下,移位寄存器各级的状态将不断变化,通常移位寄存器的最后一级做输出,输出序列为 输出序列是一个周期序列。其特性由移位寄存器的级数、初始状态、反馈逻辑以及时钟速率(决定着输出码元的宽度)所决定。当移位寄存器的级数及时钟一定时,输出序列就由移位寄存器的初始状态及反馈逻辑完全确定。当初始状态为全零状态时,移位寄存器输出全0序列。为了避免这种情况,需设置全0 排除电路。 三、实验任务 编写程序利用5级移位寄存器产生m序列,初始状态全1,抽头系数[1 0 0 1 1 1]。 四.我自己的实验代码 clear all close all solve=0; zk=0 a=[1,1,1,1,1]%an c=[1,1,1,0,1,1] lena=length(a) lenb=length(c) newc=fliplr(c) shuchu=zeros(1,lena) for zk=1:2^(lena+1) for k=1:lena zhong(k)=a(k)*newc(k); lenz=length(zhong) %solve=0; end%%%%得到每个被加数 for t=1:lenz solve=solve+zhong(lenz-t+1) if solve>=2 solve=0

樊昌信《通信原理》(第6版)(课后习题 正交编码与伪随机序列)【圣才出品】

第12章 正交编码与伪随机序列12-1 一个3级线性反馈移存器,已知其特征方程为f(x)=1+x 2+x 3,试验证它为本 原多项式。证明:(1)的最高次幂为3,且无法继续因式分解,故f(x)是既约23 1f (x )x x =++的; (2)令,有3 217m =-=7432231111m x x x x x f (x )x x +-==+++++即f(x)能整除;1m x +(3)经验证,(其中)都不能被f(x)整除。1q x +q m <综上,是本原多项式。23 1f (x )x x =++12-2 已知3级线性反馈移存器的原始状态为111,试写出两种m 序列的输出序列。解:三级线性反馈移存器的生成多项式有两种情况: (1)本原多项式,此时线性反馈移存器如图12-1所示:32 1f (x )x x =++ 输出 图12-1其工作状态如下: 表12-1

2a 1a 0a 输出 1 1 1 1 0 1 1 1 0 0 1 1 1 0 0 1 0 1 0 0 1 0 1 0 1 1 0 1 1 1 1 0 则原始状态为111时,其输出序列为:11110010。 (2)本原多项式,此时线性反馈移存器如图12-2所示:3 1f (x )x x =++ 输出 图12-2其工作状态如下: 表12-2 2a 1a 0a 输出 1 1 1 1 0 1 1 1 1 0 1 1 0 1 0 1 0 0 1 0 1 0 0 1 1 1 0 0 1 1 1 0

则原始状态为111时,其输出序列为:11110100。 12-3 一个4级线性反馈移存器的特征方程为f(x)=x 4+x 3+x 2+x+1,试证明由它所产生的序列不是m 序列。 证明:令,对于q =5<m ,有,即f(x)能被整除,4 2116m =-=511x x f (x )+=+51x +故f(x)不是本原多项式,它所产生的序列不是m 序列。 12-4 有一个由9级线性反馈移存器产生的m 序列,试写出在每一周期内所有可能的游程长度的个数。 解:由9级线性反馈移存器产生的m 序列周期为:9 21511-=游程总数:个 912256-=m 序列中,长度为k 的游程数目占游程总数的,故: 2k -长度为1的游程数目占游程总数的1/2,即128个; 长度为2的游程数目占游程总数的1/4,即64个; 同理,长度为3的游程数目为32个;长度为4的游程数目为16个;长度为5的游程数目为8个;长度为6的游程数目为4个;长度为7的游程数目为2个;长度为8的游程数目为1个。长度为9的游程数目为1个。 12-5 有一个由9级线性反馈移存器所组成的m 序列产生器,其第3、6和9级移存器的输出分别为Q 3、Q 6和Q 9,试说明: (1)将它们通过“或”门后得到一新的序列,所得序列的周期仍为29-1,并且“1”

PN(伪随机码)码发生器的设计

通信系统专业课程设计 一.课题名称:PN(伪随机码)码发生器的设计 二.设计目的: 1、巩固加深对电子线路的基本知识,提高综合运用专业知识的能力; 2、培养学生查阅参考文献,独立思考、设计、钻研专业知识相关问题的能力; 3、通过实际制作安装电子线路,学会单元电路以及整机电路的调试与分析方法; 4、掌握相关电子线路工程技术规范以及常规电子元器件的性能技术指标; 5、了解电气图国家标准以及电气制图国家标准,并利用电子CAD正确绘制电路图; 6、培养严肃认真的工作作风与科学态度,建立严谨的工程技术观念; 7、培养工程实践能力、创新能力和综合设计能力。 三.设计要求: 1、通信系统的原理框图,说明系统中各主要组成部分的功能; 2、根据选用的软件编好用于系统仿真的测试文件; 3、拟采用的实验芯片的型号可选89c51、TSC 5402、5416、2407及ALTERA的EPM7128 CPLD或EP1K30进行硬件验证; 4、独立完成课程设计报告,严禁报告内容雷同; 5、电路图中的图形符号必须符合国家或国际标准。 四.所用仪器设备: Altera的MAX 7000S系列芯片;方正文祥电脑。 五.设计内容: 1、伪随机序列产生原理及作用: 随着通信理论的发展,早在20世纪40年代,香农就曾指出,在某些情况下,为了实现最有效的通信,应采用具有白噪声的统计特性的信号。另外,为了实现高可靠的保密通信,也希望利用随机噪声。然而,利用随机噪声最大困难是它难以重复产生和处理。直到60年代,伪随机噪声的出现才使这一难题得到解决。

伪随机噪声具有类似于随机噪声的一些统计特性,同时又便于重复产生和处理。由于它具有随机噪声的优点,又避免了它的缺点,因此获得了日益广泛的应用。目前广泛应用的伪随机序列都是由数字电路产生的周期序列得到的,我们称这种周期序列为伪随机序列。 对与伪随机序列有如下几点要求: ①应具有良好的伪随机性,即应具有和随机序列类似的随机性; ②应具有良好的自相关、互相关和部分相关特性,即要求自相关峰值尖锐,而互相关和部分相关值接近于零。这是为了接收端准确检测,以减少差错; ③要求随机序列的数目以保证在码分多址的通信系统中,有足够的地址提供给不同的用户; ④要求设备简单,易实现,成本低。 通常产生伪随机序列的电路为一反馈移存器。它又分为线形反馈移存器和非线形反馈移存器两类。由线形反馈移存器产生出的周期最长的二进制数字序列称为最大长度线形反馈移存器,通常称为m序列。由于它的理论比较成熟,实现比较简便,实际应用也比较广泛,故在这里以m序列发生器为例,设计伪随机序列发生器。 m序列是由带线形反馈的移存器产生的周期最长的一种序列。线形反馈移位寄存器由时钟控制若干个串接的存储器所组成。在时钟信号的控制下,寄存器的存储信号由上一级向下一级传递。如果让某些寄存器的输出反馈回来进行运算,运算结果作为第一级寄存器的输入,则我们可以得到一个移位寄存器序列。如果移位寄存器的反馈函数和初始状态不同,那么可得到不同的移位寄存器序列。线性移位寄存器的一般形式如图1所示,总共有1,2,3,…,N个寄存器,他们的状态为X i (i=1,2,3,…,n), 经C i (I=1,2,3,…,n)相乘后模2加,再反馈。这里C i ∈(0,1),且乘法规则是 0·0=0·1=1·0=0,1·1=1。实际上C i =0表示断开,C i =1表示连接。因此这个N阶移 位寄存器的反馈函数为: F(X1,X2,…,X n)=∑i n=1C i X i 特征多项式是: f(x)= ∑ i n =1 C i X i=C +C 1 X1+C 2 X2+…+C n X n 特征多项式中的X i (i=0,1,2...n)与移位寄存器的第i个触发器相对应。

樊昌信《通信原理》笔记和课后习题(含考研真题)详解正交编码与伪随机序列【圣才出品】

第12章正交编码与伪随机序列 12.1复习笔记 一、正交编码 1.正交编码的基本概念 (1)正交编码的定义 正交编码是指码组两两正交的编码方式。 (2)正交编码的正交性(ρ=0) ①互相关系数 a.码元为“+1”,“-1” 设长为n 的编码中码元取值“+1”和“-1”,则码组x,y 的互相关系数为 式中,x,y 表示两个码组,记为 b.码元为“0”,“1”设二进制数字码元取值为“0”和“1”,则互相关系数为 式中,A 为x 和y 中对应码元相同的个数;D 为x 和y 中对应码元不同的个数。若码组x 和y 正交,则必有ρ(x,y)=0(11ρ-≤≤+)。

②自相关系数 一个长为n的码组x的自相关系数为 式中,x的下标按模n运算。 (3)超正交编码(ρ<0) ①超正交编码的定义 超正交编码是指编码中任两码组间均超正交的编码方式。 ②超正交编码的特性 任意两个码组间的相关系数ρ<0。 (4)双正交编码(ρ=0或-1) ①双正交编码的定义 双正交编码是指码组由正交编码和其反码构成的编码方式。 ②双正交编码的特性 任意两码组间的相关系数ρ为0或-1。 2.阿达玛矩阵 (1)阿达玛矩阵的定义 阿达玛矩阵是指由元素+1和-1构成,且其各行(或列)互相正交的方阵,记为H矩阵。 (2)阿达玛矩阵的表示 阶数为2的幂的高阶H矩阵表示为

代替;H2为最低阶 式中,N=2m;为直积,指将中的每一个元素用矩阵H H矩阵,下式中+1和-1简写为“+”和“-”,即 (3)阿达玛矩阵的正规形式 ①正规阿达玛矩阵的定义 正规阿达玛矩阵是指元素对称且第一行和第一列的元素全为“+”的H矩阵。 ②正规阿达玛矩阵的特点 正规H矩阵交换任意两行(或列),或改变任一行(或列)中每个元素的符号: a.不会影响矩阵的正交性质; b.交换后的矩阵H不一定正规。 3.沃尔什函数 (1)沃尔什函数的定义 沃尔什函数用差分方程定义为 式中,p=0或1,j=0,1,2,…;指数中的[j/2]表示取j/2的整数部分。 (2)沃尔什函数的特点 ①函数取值仅为“+1”和“-1”; ②任意两个沃尔什函数相乘积分的结果等于0,即满足两两正交; ③具有数字信号的特性。

伪随机序列的应用

3.3. 伪随机序列的应用 一、误码率测量 在数据通信中,经常要测试通信系统的性能。误码率是通信系统的主要质量指标,通信系统的性能往往与信源的统计特性有关。通常认为信源的0、1是等概出现的。 误码率的测量框图如下所示:(结合系统的仿真) 环路测试: 单向测试: 二、时延测量 时延测量在许多领域中都十分有用:如地底深度探测、无线测距等。 时延测量的一般思路:周期脉冲测量法。产生窄周期脉冲,时延线的精度,发送功率。 时延测量的m序列应用:用m序列代替周期脉冲,用相关器代替时延比较器。测量方法的精度取决于m序列的码片时间。 三、噪声产生器 测量通信系统的性能时,经常需要使用噪声产生器,由它给出具有所要求的统计特性和频率特性的噪声,并且可以随意控制其强度,以便得到不同的信噪比条件下的系统性能。 四、通信加密 五、数据序列的扰乱与解扰 扰码的目的是使信源的0、1分布等概。 六、扩展频谱通信 仙农定理告诉我们:可以用带宽换信噪比,即在低信噪比的情况下,可以通过增加带宽的应用来进行无误的传输。 可以有3种方法实现带宽的扩展: 1、直接序列调制扩频 直接序列调制扩频的原理框图如下: 它用比信息速率高得多的序列去调制信息序列,从而改变整个信号的带宽。 在接收端通过调制序列的相关性达到解调的目的。实际上它等效于一种正交编码。 2、跳频 发射机的发射频率根据一定的规则随机地在一定范围内变化。 3、Chirp调频(线性调频,连续调频) 由于扩频通信采用宽频带的技术来传输信息,它具有抗窄带干扰、信号功率低隐蔽性强、抗衰落能力强的特点,因此在无线领域、军用领域得到了广泛的应用。 七、分离多径技术 3.4. 直接序列扩频 一、系统组成 直扩系统的框图如下:

相关主题
文本预览
相关文档 最新文档