当前位置:文档之家› 现代数字系统设计_习题集(含答案)

现代数字系统设计_习题集(含答案)

现代数字系统设计_习题集(含答案)
现代数字系统设计_习题集(含答案)

《现代数字系统设计》课程习题集

一、单选题

1. IP核在EDA技术和开发中具有十分重要的地位,IP是指()。

A:知识产权 B:互联网协议 C:网络地址 D:都不是

2.在verilog HDL的always块本身是()语句

A:顺序 B:并行 C:顺序或并行 D:串行

3.设a = 1′b1,b = 3′b101,c = 4′b1010则X= {a,b,c}的值的等于()

A:7′b1101100 B:8′b 10101011 C:8′b 11010101 D:8′b11011010 4.嵌套的使用if语句,其综合结果可实现()。

A:带优先级且条件相与的逻辑电路 B:双向控制电路

C:三态控制电路 D:条件相异或的逻辑电路

5.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是()。

A:CPLD即是现场可编程逻辑器件的英文简称;

B:CPLD是基于查找表结构的可编程逻辑器件;

C:早期的CPLD是从GAL的结构扩展而来;

D:在Altera公司生产的器件中,FLEX10K 系列属CPLD结构;

6.在Verilog HDL的逻辑运算中,设A=8'b11010001,B=8'b00011001,则表达式“A&B”的结果为()

A:8'b00010001 B:8'b11011001 C:8'b11001000 D:8'b00110111

7.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是()。

A:FPGA是基于乘积项结构的可编程逻辑器件;

B:FPGA是全称为复杂可编程逻辑器件;

C:基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D:在Altera公司生产的器件中,MAX7000系列属FPGA结构。

8.下列运算符优先级最高的是()。

A:! B: + C :& D:{}

9.在verilog HDL的always块本身是()语句

A:顺序 B:并行 C:顺序或并行 D:串行

10.用Verilog HDL的assign语句建模的方法一般称为()方法。\

A:连续赋值 B:并行赋值 C:串行赋值 D:函数赋值

11.在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件为()。

A:仿真器 B:综合器 C:适配器 D:下载器

12.可编程逻辑器件PLD属于()电路。

A:半用户定制 B:全用户定制 C:自动生成 D:非用户定制

13.在Verilog HDL模块中,函数调用时返回一个用于()的值。

A:表达式 B:输出 C:输入 D:程序包

14.任Verilog HDL的端口声明语句中,用()关键字声明端口为双向端口

A:inout B:INOUT C:BUFFER D:buffer

15. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为()。

A :瘦IP B:固IP C:胖IP D:都不是

16. FPGA可编程逻辑基于的可编程结构基于()。

A: LUT结构 B:乘积项结构 C:PLD D:都不对

17.操作符是Verilog HDL预定义的函数命名,操作符是由()字符组成的。

A:1 B:2 C:3 D:1~3

18.在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件为()。

A:仿真器 B:下载器 C:适配器 D:综合器

19.下列标识符中,()是不合法的标识符。

A: State0 B: 9moon C: Not_Ack_0 D: signal$

20. CPLD 可编程逻辑基于的可编程结构基于()。

A: LUT结构 B:乘积项结构 C: PLD D:都不对

21. Verilog HDL中的always语句中的语句是()语句。

A:顺序 B:串行 C:并行 D:顺序或并行

22.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,()是错误的。

A:综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;

B:综合是纯软件的转换过程,与器件硬件结构无关;为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。

C:综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的;

D:为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;23.不完整的IF语句,其综合结果可实现()。

A:时序逻辑电路B:组合逻辑电路 C:双向电路 D:三态控制电路

24. CPLD的可编程是主要基于什么结构()。

A :查找表(LUT) C: PAL可编程 B: ROM可编程 D:与或阵列可编程

25.设计输入完成之后,应立即对文件进行()。

A:编译 B:编辑 C:功能仿真 D:时序仿真

26. VHDL是在()年正式推出的。

A:1983 B:1985 C:1987 D:1989

27.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→()→综合→适配→()→编程下载→硬件测试。①功能仿真②时序仿真③逻辑综合④配置⑤引脚锁定

A:③① B:⑤② C:④⑤ D:①②

28.设a=2 ,b=0,则下列式子中等于X的是()。

A: a && b B: a || b C: !a D: x && a

29.在EDA工具中,能完成在目标系统器件上布局布线的软件称为()。

A:仿真器 B:综合器 C:适配器 D:下载器

30.关于Verilog HDL中的数字,请找出以下数字中最大的一个:()。

A:8′b1111_1110 B:3′o276 C:3′d170 D:2′h3E

31.将设计的系统按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程,称为()。

A:设计的输入 B:设计的输出 C:仿真 D:综合

32.不属于PLD基本结构部分的是()。

A:与门阵列 B:输入缓存 C:与非门阵列D:或门阵列

33.在Verilog HDL模块中,task语句类似高级语言中的()。

A:函数 B:常数 C:变量 D:子程序

34.下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的()。

A:原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;

B:原理图输入设计方法无法对电路进行功能描述;

C:原理图输入设计方法一般是一种自底向上的设计方法;

D:原理图输入设计方法也可进行层次化设计。

35.一般把EDA技术的发展分为()个阶段。

A:2 B: 3 C:4 D:5

36.设a = 4′b1010,b=4′b0001,c= 4′b1xz0则下列式子的值为1的是()

A:a > b B:a <= c C:13 - a < b d:13 – (a>b)

37.嵌套的if语句,其综合结果可实现()。

A:条件相与的逻辑 B:条件相或的逻辑 C:条件相异或的逻辑 D:三态控制电路38.下列EDA软件中,哪一个不具有逻辑综合功能:()。

A: ISE B:Synplify C: Quartus II D: ModelSim

39.基于硬件描述语言的数字系统设计目前最常用的设计方法称为()设计法。

A:自底向上 B:自顶向下 C:积木式 D:顶层

40.逻辑器件()属于非用户定制电路。

A:逻辑门 B: PROM C:PLA D:GAL

41.任Verilog HDL的标识符使用字母的规则是()。

A:大小写相同 B:大小写不同 C:只允许大写 D:只允许小写

42.下列运算符优先级最高的是()。

A:- B:! C :& D:{}

43. Verilog HDL是在()年正式推出的。

A:1983 B:1985 C:1987 D:1989

二、填空题1

44. FPGA的配置模式有从动串行模式、从动并行模式、主动串行模式、主动并行模式、以及()模式。

45. CPLD是由()的结构演变而来的。

46.FPGA/CPLD有如下设计步骤:①原理图/HDL文本输入、②适配、③功能仿真、④综合、

⑤编程下载、⑥硬件测试,正确的设计顺序是①()⑤⑥。

47.在EDA工具中,能完成在目标系统器件上布局布线的软件称为()。

48. IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为()IP。

49.基于硬件描述语言的数字系统设计目前最常用的设计方法称为()设计法。

50.()仿真是对设计输入的规范检测,这种仿真通过只能表示编译通过,说明设计满足一定的语法规范,但不能保证设计功能满足期望。

51.现代电子系统设计领域中的EDA采用()的设计方法。

52.设计输入完成之后,应立即对文件进行()。

53.()仿真是对综合后的网表进行的仿真,它验证设计模块的基本逻辑功能,但不带有布局布线后产生的时序信息,是理想情况下的验证。

54.将硬件描述语言转化为硬件电路的过程称为()。

55.连续赋值常用于数据流行为建模,常以()为关键词。

56. state,State ,这两个标识符是()(填相同或不同)。

57.在Verilog HDL的逻辑运算中,设A=4′b1010,则表达式~A的结果为()。

58.()仿真是布局布线后进行的后仿真,仿真时考虑了布线延时,和芯片实际的工作情况更加接近。

59.目前Xilinx公司生产的FPGA主要采用了()配置存储器结构。

60.描述测试信号的变化和测试工程的模块叫做()。

61.现代电子系统设计领域中的EDA采用()的设计方法。

62. Verilog HDL提供了标准的系统任务,用于常用的操作。如显示、文件输入/输出等,系统函数前都有一个标志符()加以确认。

63.在Verilog HDL的逻辑运算中,设 a = 4′b1010, a >>1结果是()。

64.()是描述数据在寄存器之间流动和处理的过程。

65.未来的集成电路技术的发展趋势,把整上系统集成在一个芯片上去,这种芯片被称为()。

66. Verilog语言中,标识符可以是任意一组字母、数字、()符号和下划线符号的组合。

67. FPGA的核心部分是(),由内部逻辑块矩阵和周围I/O接口模块组成。

68.把基于电可擦除存储单元的EEPROM或Flash 技术的CPLD 的在系统下载称为(),这个过程就是把编程数据写入E2CMOS单元阵列的过程。

69.Verilog HDL中的always语句中的语句是()语句,always块本身是()

语句。

70. Verilog HDL很好地支持了“自顶向下”的设计理念,即,复杂任务分解成的小模块完成后,可以通过()的方式,将系统组装起来。

三、名词解释题

71. EDA

72. ASIC

73. CPLD

74. FPGA

75. BBD

76. LUT

77. PCB

78. RTL

79. FSM

80. ISP

81. SOPC

82. IP Core

83. HDL

84. JTAG

85. IC

86. SOC

87. PBD

88. ROM

89. GAL

90. PLD

91. VHDL

92. IEEE

93. CAD

94. EPROM

95. PAL

四、判断改错题

96.下面的中有5处错误,试找出错误并修改正确。

第1行 module divide2( clk , clk_o, reset)

第2行input clk , reset;

第3行output clk_o;

第4行wire in;

第5行wire out ;

第6行always ( posedge clk or posedge reset)

第7行if ( reset)

第8行out <= 0;

第9行else

第10行out <= in;

第11行assign in <=~out;

第12行assign clk_o = out;

97.下面的中有5处错误,试找出错误并修改正确。第1行module dff8(reset, d, q);

第2行input clk;

第3行input reset;

第4行input[7:0] d;

第5行output q;

第6行reg[7:0] q;

第7行initial @ (posedge clk)

第8行if(reset)

第9行 q <= 0;

第10行else

第11行 q <= d;

第12行endmodule;

98.下面的中有5处错误,试找出错误并修改正确。第1行module decode4_7(decodeout,indec)

第2行output[6:0]decodeout;

第3行input[3:0] indec;

第4行reg[6:0]decodeout;

第5行always@(indec)

第6行begin

第7行case

第8行4′d1:decodeout=7′b1111110;

第9行4′d1:decodeout=7′b0110000;

第10行4′d2:decodeout=7′b1101101;

第11行4′d3:decodeout=7′b1111001;

第12行4′d4:decod eout=7′b0110011;

第13行4′d5:decodeout=7′b1011011;

第14行4′d6:decodeout=7′b1011111;

第15行4′d7:decodeout=7′b1110000;

第16行4′d8:decodeout=7′b1111111;

第17行4′d9:decodeout=7′b1111011;

第18行endcase

第19行end

99.下面的程序中有5处错误,试找出错误并修改正确。第1行module shifter( );

第2行input din,clk,clr;

第3行output[7:0] dout;

第4行reg[7:0] dout;

第5行alway @(posedge clk)

第6行if (clr) dout = 8'b0;

第7行else

第8行 begin

第9行 dout <= dout << 1;

第10行 dout[0] <= din;

第11行end

第12行endmodule

100.下面程序有5处错误,试找出错误并修改正确。第1行module alutast(code,a,b,c)

第2行input[1:0]code;

第3行input[3:0]a,b;

第4行output[4:0]c;

第5行 reg[4:0] c;

第6行 task my_and;

第7行 input[3:0]a,b;

第8行output[4:0]out;

第9行interger i;

第10行for(i=3;i>=0;i=i-1)

第11行out[i]=a[i]&b[i];

第12行end

第13行always@(code or a or b)

第14行begin

第15行case(code)

第16行2’b00:my_hand(a,b,c);

第17行2’b01:c=a|b;

第18行2’b10:c=a-b;

第19行2’b11:c=a+b;

第20行end

第21行endmodule;

五、综合设计题

101. 用Verilog HDL 设计7人投票表决器,当大于等于4票时输出为1,否则为0。 102. 下图是一个含有下降沿触发的D 触发器的时序电路,试写出此电路的VerilogHDL 设计程序。

103. 根据以下原理图写出相应的Verilog 程序。 D

Q DFF D Q DFF

OR yout

OUTPUT xin INPUT clk INPUT

104. 试用Verilog HDL 描述一个8位移位寄存器。

105. 试用Verilog HDL 试描述一个能实现2倍分频功能的模块。

106. 试用Verilog HDL 描述一个异步复位、二十进制的减法计数器。

107. 试用Verilog HDL 描述8-3优先编码器。

108. 试用Verilog HDL 描述一个带进位输入、输出的4位全加器,其中端口:A 、B 为加数,CIN 为进位输入,S 为加和,COUT 为进位输出。

109. 试用Verilog HDL 描述一个带同步清0、同步置1的D 触发器。

110. 试用Verilog HDL 试描述一个4位并串转换器。。

六、填空题2

111. Verilog HDL 中的端口类型有三类: ( )、( )、输入/输出端口。 112. 常用的HDL 语言有( )和( )两种。

113. 可编程逻辑器件的配置方式分为( )和( )两类。

114. Verilog HDL 模块分为两种类型:一种是( )模块,即,描述某种电路系统结构,功能,以综合或者提供仿真模型为设计目的;另一种是 ( )模块,即,为

数字系统设计试卷2012A卷

中国矿业大学2012~2013学年第一学期 《数字系统设计基础》试卷(A)卷 考试时间:100 分钟考试方式:闭卷 学院_________班级_____________姓名___________学号____________ 一、选择题(20分,每题2分) 1.不完整的IF语句,其综合结果可实现:_________ A. 三态控制电路 B. 条件相或的逻辑电路 C. 双向控制电路 D. 时序逻辑电路 2.关于进程语句说法错误的是_________ A. PROCESS为一无限循环语句(执行状态、等待状态) B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性 C. 进程必须由敏感信号的变化来启动 D. 变量是多个进程间的通信线 3、对于VHDL以下几种说法错误的是___________ A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义 元件的引脚 B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C. VHDL程序中是区分大小写的 D.结构体描述元件内部结构和逻辑功能 4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。 A. 实体与结构体之间的连接关系; B. 器件的内部功能; C. 实体使用的库文件; D. 器件外部可见特性如端口的数目、方向等 5. 组合逻辑电路中的毛刺信号是由于______引起的。 A. 电路中存在延迟 B.电路不是最简 C. 电路有多个输出 D.电路中使用不同的门电路 6. 下列关于临界路径说法正确的是___________ A. 临界路径与系统的工作速度无关 B. 临界路径减小有助于缩小电路规模 C. 临界路径减小有助于降低功耗 D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径 7. 关于FPGA和CPLD的区别说法正确的是___________ A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑

verilog数字系统设计教程习题答案

verilog 数字系统设计教程习题答案第二章 HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog 语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL 模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 HDL和VHDL乍为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是

6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile 是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler ,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit ,据说比Synopsys 的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit 被Cadence 公司收购,为此Cade nee放弃了它原来的综合软件Syn ergy。随着FPGA 设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Sy nopsys 的FPGAExpress,Cade nee 的Syn plity ,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC Jam格式的 文件 9.在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

数字系统设计大作业

2014 ~ 2015学年第1 学期 《数字系统设计》 大作业 题目:4×4阵列键盘键信号检测电路设计专业:电子信息工程 班级: 姓名: 指导教师: 电气工程学院 2015 年12月

摘要 人类文明已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronic Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。 本设计主要利用VHDL硬件描述语言在EDA平台xilinx.ise.7.1i上设计一个4×4阵列键盘扫描电路,将行扫描信号输入阵列键盘,读取列信号的值,输出按键编码,从而判断出按键按下的位置。并且使用Modelsim软件进行模拟仿真,下载到EDA实验箱进行硬件验证。 关键词:EDA VHDL语言 4×4阵列键盘扫描

目录 《数字系统设计》 (1) 数字系统设计.............................................................................. 错误!未定义书签。摘要 (2) 关键词:EDA VHDL语言 4×4阵列键盘扫描 (2) 1、实验目的 (4) 2、实验要求 (4) 3、实验原理 (4) 4、总体框图 (5) 4.1.1方案一 (5) 4.1.2方案二 (5) 4.2设计思路 (6) 5、功能模块介绍 (8) 5.1键盘消抖模块 (8) 5.2键盘模块 (8) 5.3VHDL部分程序 (8) 6、实验结果 (10) 6.1综合电路图 (10) 6.2时序仿真 (11)

《verilog_数字系统设计课程》(第二版)思考题答案

Verilog数字系统设计教程思考题答案 绪论 1.什么是信号处理电路?它通常由哪两大部分组成? 信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。它通常有高速数据通道接口和高速算法电路两大部分组成。 2.为什么要设计专用的信号处理电路? 因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。 3.什么是实时处理系统? 实时处理系统是具有实时响应的处理系统。 4.为什么要用硬件描述语言来设计复杂的算法逻辑电路? 因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。 5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计? 不能,因为基础算法的描述和验证通常用C语言来做。如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。 6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提 高设计效率? 首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。比较起来,Verilog语言只是针对硬件描述的,在别处使用并不方便。而用Verilog的仿真,综合,查错等大部分软件都是商业软件,与C语言相比缺乏长期大量的使用,可靠性较差,亦有很多缺陷。所以只有在C语言的配合使用下,Verilog才能更好地发挥作用。C 语言与Verilog HDL语言相辅相成,互相配合使用。这就是即利用C语言的完整性又要结合Verilog对硬件描述的精确性,来更快更好地设计出符合性能要求的

数字系统设计

第一次作业 EDA 的英文全称是什么EDA 的中文含义是什么 答:ED自动化A 即Electronic Design Automation 的缩写,直译为:电子设计。 什么叫 EDA 技术利用 EDA 技术进行电子系统的设计有什么特点 答:EDA 技术有狭义和广义之分,狭义EDA 技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC 自动设计技术。 ①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。 从使用的角度来讲,EDA 技术主要包括几个方面的内容这几个方面在整个电子系统的设计中分别起什么作用 答:EDA 技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。其中,硬件描述语言是重点。对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。对于硬件描述语言,除了掌握基本语法规定外,更重要的是要理解VHDL 的三个“精髓”:软件的强数据类型与硬件电路的惟一性、硬件行为的并行性决定了VHDL 语言的并行性、软件仿真的顺序性与实际硬件行为的并行性;要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。对于软件开发工具,应熟练掌握从源程序的编辑、逻辑综合、逻辑适配以及各种仿真、硬件验证各步骤的使用。对于实验开发系统,主要能够根据自己所拥有

数字系统设计-参考模板

第一次作业 1.1 EDA 的英文全称是什么?EDA 的中文含义是什么? 答:ED自动化A 即 Electronic Design Automation 的缩写,直译为:电子设计。 1.2什么叫 EDA 技术?利用 EDA 技术进行电子系统的设计有什么特点? 答:EDA 技术有狭义和广义之分,狭义 EDA 技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为 IES/ASIC 自动设计技术。①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。 1.3从使用的角度来讲,EDA 技术主要包括几个方面的内容?这几个方面在整个电子系统的设计中分别起什么作用?

答:EDA 技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。其中,硬件描述语言是重点。对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。对于硬件描述语言,除了掌握基本语法规定外,更重要的是要理解 VHDL 的三个“精髓”:软件的强数据类型与硬件电路的惟一性、硬件行为的并行性决定了 VHDL 语言的并行性、软件仿真的顺序性与实际硬件行为的并行性;要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。对于软件开发工具,应熟练掌握从源程序的编辑、逻辑综合、逻辑适配以及各种仿真、硬件验证各步骤的使用。对于实验开发系统,主要能够根据自己所拥有的设备,熟练地进行硬件验证或变通地进行硬件验证。 1.4 什么叫可编程逻辑器件(简称 PLD)? FPGA 和 CPLD 的中文含义分别是什么?国际上生产FPGA/CPLD 的主流公司,并且在国内占有较大市场份额的主要有哪几家?其产品系列有哪些?其可用逻辑门/等效门数大约在什么范围? 答:可编程逻辑器件(简称 PLD)是一种由用户编程以实现某种 逻辑功能的新型逻辑器件。 FPGA 和 CPLD 分别是现场可编程 门阵列和复杂可编程逻辑器件的简称。国际上生产 FPGA/CPLD 的主流公司,并且在国内占有市场份额较大的主要是Xilinx,Altera,Lattice 三家公司。Xilinx 公司的 FPGA 器件有 XC2000,XC3000,XC4000,XC4000E,XC4000XLA,XC5200 系列 等,可用门数为 1200~18 000;Altera 公司的 CPLD 器件有

verilog数字系统设计教程习题答案

verilog数字系统设计教程习题答案 第二章 1.Verilog HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用 Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 4.Verilog HDL和VHDL作为描述硬件电路设计的语言,其共同的特点在于:能 形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是 6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组 合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit,据说比Synopsys的软件更有效,可以 综合50万门的电路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence 放弃了它原来的综合软件Synergy。随着FPGA设计的规模越来越大,各EDA公 司又开发了用于FPGA设计的综合软件,比较有名的有:Synopsys的FPGA Express,Cadence的Synplity, Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件。

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

《verilog_数字系统设计课程》(第二版)思考题答案

绪论 1.什么是信号处理电路?它通常由哪两大部分组成? 信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。它通常有高速数据通道接口和高速算法电路两大部分组成。 2.为什么要设计专用的信号处理电路? 因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。 3.什么是实时处理系统? 实时处理系统是具有实时响应的处理系统。 4.为什么要用硬件描述语言来设计复杂的算法逻辑电路? 因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。 5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计? 不能,因为基础算法的描述和验证通常用C语言来做。如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。 6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提高设计效率? 首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。比较起来,Verilog语言只是针对硬件描述的,在别处使用并不方便。而用Verilog的仿真,综合,查错等大部分软件都是商业软件,与C语言相比缺乏长期大量的使用,可靠性较差,亦有很多缺陷。所以只有在C语言的配合使用下,Verilog才能更好地发挥作用。C 语言与Verilog HDL语言相辅相成,互相配合使用。这就是即利用C语言的完整性又要结合Verilog对硬件描述的精确性,来更快更好地设计出符合性能要求的

数字系统设计

东南大学自动化学院 《数字系统课程设计》 专业综合设计报告 姓名:_________________________ 学号: 专业:________________________ 实验室: 组别:______________________同组人员: 设计时间:年月日 评定成绩: _____________________ 审阅教师:

一.课程设计的目的与要求 二.原理设计 三.架构设计 四.方案实现与测试 五.分析与总结

专业综合设计的目的与要求(含设计指标) 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过, 在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄 灯亮则给行驶中的车辆有时间行驶到禁行线之外。 主干道和乡村公路都安装了传感器, 检测 车辆通行情况,用于主干道的优先权控制。 设计任务与要求 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通 行,让乡村公路通行。主干道最短通车时间为 25s 。 (3)当乡村公路和主干道都有车时,按主干道通车 25s ,乡村公路通车 16s 交替进行。 ( 4)不论主干道情况如何,乡村公路通车最长时间为 16s 。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮 5s 时间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极 管作交通灯。 要求显示时间,倒计时。 . 原理设计(或基本原理) HDL 语言,用ONEHOTI 犬态机编码表示交通灯控制器的四个状态(状态 0010,状态三: 0100,状态四: 1000): 设置两个外部控制条件:重置( set );乡村干道是否有车( c —— c=1 表示无车; c=0 表示有 车) 设置一个内部控制条件: 时间是否计满 ( state —— state=0 表示计数完成; state=1 表示计 数没有完成) 本设计采用 Verilog : 0001,状态二: 主干道红灯,显示 5 秒;乡村干道黄灯,显示 5 秒——( 0001) 主干道红灯,显示 21 秒;乡村干道绿灯,显示 16 秒——( 0010) 主干道黄灯,显示 5 秒;乡村干道红灯,显示 5 秒——( 0100) 主干道绿灯,显示 25 秒;乡村干道红灯,显示 30 秒——( 1000)

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数字电路第8章 数字系统设计基础-习题答案

第8章数字系统设计基础 8.1 数字系统在逻辑上可以划分成哪两个部分?其中哪一部分是数字系统的核心? 解:数字系统在逻辑上可以划分成控制器和数据处理器两部分,控制器是数字系统的核心。 8.2 什么是数字系统的ASM图?它与一般的算法流程图有什么不同?ASM块的时序意义是什么?解:算法状态机(ASM)是数字系统控制过程的算法流程图。它与一般的算法流程图的区别为ASM 图表可表示事件的精确时间间隔序列,而一般的算法流程图只表示事件发生的先后序列,没有时间概念。ASM块的时序意义是一个ASM块内的操作是在一个CLK脉冲作用下完成的。 8.3 某数字系统,在T0状态下,下一个CLK到,完成无条件操作:寄存器R←1010,状态由T0→ T1。在T1状态下,下一个CLK到,完成无条件操作:R左移,若外输入X=0,则完成条件操作:计数器A←A+1,状态由T1→T2;若X=1,状态由T1→T3。画出该系统的ASM图。 解:ASM图表如图所示 8.4 一个数字系统在T1状态下,若启动信号C=0,则保持T1状态不变;若C=1,则完成条件操作: A←N1,B←N2,状态由T1→T2。在T2状态下,下一个CLK到,完成无条件操作B←B?1,若M=0,则完成条件操作:P右移,状态由T2→T3;若M=1,状态由T2→T4→T1。画出该数字系统的ASM图。 解:ASM图表如图

8.5 控制器状态图如题图8.5所示,画出其等效的ASM图。 题图8.5 解:ASM图 8.6 设计一个数字系统,它有三个4位的寄存器X、Y、Z,并实现下列操作: ①启动信号S出现,传送两个4位二进制数N1、N2分别给寄存器X、Y; ② 如果X>Y,左移X的内容,并把结果传送给Z; ③如果X

传统数字电路设计方案方法与现代数字电路设计方法比较.doc

传统数字电路设计方法与现代数字电路设计方法比较 专业: 姓名:学号: 摘要:本文对7段数码管显示功能设计分别采用传统数字电路和现代数字电路fpga(verilog hdl)实现。并对设计流程进行对比,从而得出各个方法的优劣。 关键字:7段数码管显示;传统数字电路;现代数字电路fpga 1.数字系统设计方法 传统的数字系统的设计方法是画出逻辑图,这个图包含SSI的门和MSI的逻辑功能,然后人工通过真值表和通过卡诺图进行化简,得到最小的表达式,然后在基于TTL的LSI芯片上实现数字逻辑的功能。 现代的数字系统设计是使用硬件描述语言(Hardware Description Language, HDL)来设计数字系统。最广泛使用的HDL语言是VHDL和Verilog HDL。这些语言允许设计人员通过写程序描述逻辑电路的行为来设计数字系统。程序能用来仿真电路的操作和在CPLD、FPGA 或者专用集成电路ASIC上综合出一个真正的实现 2.传统数字系统设计。 1.1 设计流程 传统的数字系统设计基于传统的“人工”方式完成,当设计目标给定后,给出设计目标的真 值表描述,然后使用卡诺图对真值表进行化简,得到最小的表达式,然后使用TTL的LSI 电路实现最小的表达式,最后使用调试工具和仪器,对系统进行调试。

1.2 功能实现 1)设计目标:在一个共阳极的7段数码管上显示相对应的0-F的值。 2)设计目标的真值表描述:图1.2首先给出了七段数码管的符号表示,当其是共阳极时,只有相应的段给低电平‘0’时,该段亮,否则灭。 3)使用卡诺图对真值表进行化简,7段数码管e段的卡诺图化简过程如图。

数字系统设计与verilogHDL课程设计

数字系统设计与v e r i l o g H D L课程设计设计题目:实用多功能数字钟 专业:电子信息科学与技术 班级:0313410 学号: 姓名:杨存智 指导老师:黄双林 摘要 本课程设计利用QuartusII软件VerilogVHDL语言的基本运用设计一个多功能数字钟,经分析采用模块化设计方法,分别是顶层模块、alarm、alarm_time、counter_time、clk50mto1、led、switch、bitel、adder、sound_ddd、sound_ddd_du模块,再进行试验设计和软件仿真调试,分别实现时分秒计时、闹钟闹铃、时分秒手动校时、时分秒清零,时间保持和整点报时等多种基本功能。 单个模块调试达到预期目标,再将整体模块进行试验设计和软件仿真调试,已完全达到分块模式设计功能,并达到设计目标要求。 关键字:多功能数字钟、Verilog、模块、调试、仿真、功能 目录

课程设计的目的 通过课程设计的锻炼,要求学生掌握Verilog HDL语言的一般设计方法,掌握Verilog HDL语言的基本运用,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,基于实践、源于实践,实践出真知,实践检验真理,培养学生的创新精神。 掌握现代数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 课程设计的任务与要求 用Verilog HDL语言设计一个多功能的数字钟,具有下述功能: (1)计时功能。包括时、分、秒的计时; (2)定时与闹钟功能:能在设定的时间发出闹铃音; (3)校时功能。对时、分和秒能手动调整以校准时间; (4)整点报时功能;每逢整点,产生“嘀嘀嘀嘀一嘟”四短一长的报时音。 2.课程设计思路及其原理 数字计时器要实现时分秒计时、闹钟闹铃、时分秒手动校时、时分秒清零,时间保持和整点报时等多种基本功能,所有功能都基于计时功能。因此首先需要获得具有精确振荡时间的脉振信号,以此作为计时电路的时序基础,实验中可以使用的振荡频率源为50MHZ,通过分频获得所需脉冲频率1Hz。得到1hz脉冲后,要产生计时模块,必须需要加法器来进行加法,因此需要一个全加器,此实验中设计一个八位全加器来满足要求。 数字电路设计中,皆采用二进制加法,为实现实验中时分秒的最大功能,本实验中采用十六进制加法器,再进行BCD码进行转换来实现正常时钟显示。为产生秒位,设计一个模60计数器,利用加法器对1HZ 的脉冲进行秒计数,产生秒位;为产生分位,通过秒位的进位产生分计数脉冲,分位也由模60计数器构成;为产生时位,用一个模24计数器对分位的进位脉冲进行计数。整个数字计时器的计数部分共包括六位:时十位、时个位、分十位、分个位、秒十位和秒个位。基本的计时模块完成之后,整点报时、清零、校时、LED显示、闹铃模块可以相互实现,其中,闹铃模块与计时模块的显示相互并行。 清零功能是通过控制计数器清零端的电平高低来实现的。只需使清零开关按下时各计数器的清零端均可靠接入有效电平(本实验中是低电平),而清零开关断开时各清零端均接入无效电平即可。 保持功能是通过逻辑门控制秒计数器输入端的1Hz脉冲实现的。正常情况下,开关不影响脉冲输入即秒正常计数,当按下开关后,使脉冲无法进入计数端,从而实现计时保持功能。

verilog数字系统设计教程第6章例题

第六章例题 [例1]:用initial 块对存储器变量赋初始值 initial begin areg=0; //初始化寄存器areg for(index=0;index

verilog数字系统设计教程第5章例题

第五章例题 例如:if(a>b) out1 = int1; else out1 = int2; 例如:always @( some_event) //虚的字体表示块语句 begin if(a>b) out1 = int1; else if (a==b) out1 = int2; else out1 = int3; end if(a>b) begin out1<=int1; out2<=int2; end else begin out1<=int2; out2<=int1; end //有时begin_end块语句的不慎使用会改变逻辑行为。见下例: if(index>0) for(scani=0;scani0) begin $display("..."); memory[scani]=0; end else /*WRONG*/ $display("error-indexiszero"); 尽管程序设计者把else写在与第一个if(外层if)同一列上,希望与第一个if对应,但实际上else是与第二个if对应,因为它们相距最近。正确的写法应当是这样的:if(index>0) begin for(scani=0;scani

if(memory[scani]>0) begin $display("..."); memory[scani]=0; end end else /*WRONG*/ $display("error-indexiszero"); //定义寄存器和参数。 reg [31:0] instruction, segment_area[255:0]; reg [7:0] index; reg [5:0] modify_seg1, modify_seg2, modify_seg3; parameter segment1=0, inc_seg1=1, segment2=20, inc_seg2=2, segment3=64, inc_seg3=4, data=128; //检测寄存器index的值 if(index

相关主题
文本预览
相关文档 最新文档