当前位置:文档之家› 交通信号灯控制电路的设计与仿真

交通信号灯控制电路的设计与仿真

交通信号灯控制电路的设计与仿真
交通信号灯控制电路的设计与仿真

1.引言

在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

交通信号灯的设计涉及到模拟电子技术与数字电子技术。其中,绝大部分是数字部分:逻辑门电路、数字逻辑表达式、计算真值表与逻辑函数间的关系、分频器,计数器等基本原理。交通信号灯的设计包含了计数器,十二进制数的概念。交通信号灯的设计与制作不仅加深了对数字电路的了解,而且由于交通信号灯包括组合逻辑电路和时叙电路,进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,为数字电路的制作提供思路。

本系统采用小规模集成电路构成交通信号灯控制电路的硬件电路,由555定时器产生秒脉冲,经过双D触发器构成的T’触发器进行分频产生四秒脉冲信号,将其作为十二进制计数器的CP脉冲。而十二进制计数器作为组合逻辑电路的输入,组合逻辑电路的输出去驱动东西和南北两个方向的信号灯的点亮。最后用电路仿真软件Multisim绘制出交通信号灯控制电路的完整电路图,并对其各部分进行仿真、调试。

2.交通信号灯概述

交通信号灯的逻辑框图如图2-1所示。它由555集成芯片构成的振荡电路产生秒脉冲、分频器、十二进制计数器、组合逻辑电路、LED 灯和直流电源组成。555集成芯片构成的振荡电路产生的信号经过分频器作为四秒脉冲,四秒脉冲送入计数器,计数结果通过逻辑电路的与或非的变换从而使南北向和东西向的红黄绿灯按着要求变化。

四分频器秒脉冲产生电路

工作方式控制开关

十二进制计数器

组合逻辑电路

东西信号灯南北信号灯

统电源

图2-1交通信号灯的逻辑框图

3.基本设计内容及要求

3.1信号灯白天工作要求

某方向绿灯点亮20秒,然后黄灯点亮4秒,最后红灯点亮24秒。在该方向为绿灯和黄灯点亮期间,另一方向红灯点亮。

如果以4秒作为时间计量单位,则某一方向绿、黄、红三种指示灯点亮的时间比例为5:1:6。

从点亮要求可以看出,有些输出是并行的:如南北方向绿灯亮时,东西方向红灯亮;南北方向黄灯亮时,东西方向红灯亮;南北方向红灯亮时,东西方向绿灯亮;南北方向红灯亮时,东西方向黄灯亮。信号灯采用LED红、绿、黄发光二极管模拟。

3.2夜间工作方式

南北东西各方向黄灯亮,且每秒闪动一次。其它灯不亮。要求设置一个手动开关,用它控制白天和夜间工作方式。

南北方向绿灯亮,东西方向红灯亮5t

南北方向黄灯亮,东西方向红灯亮1t

南北方向红灯亮,东西方向绿灯亮5t

南北方向红灯亮,东西方向黄灯亮1t

图3-1信号指示灯白天点亮流程图

3.3设计要求

要求根据设计要求实现交通灯的现实功能;

用Multisim进行仿真

设计说明书;

4.单元模块

4.1电源模块

信号灯采用三极管9031驱动,其额定电流与额定电压应满足三级管的驱动能力,电源电压采用直流5V,通过变压器将市电降压到交流9V,在通过整流桥整流滤波和稳压块7805得到直流5V电压。直流稳压电源的任务是为整体电路提供直流电源。故稳压电源电路的输出电压值和输出电流值应满足整体电路的需要。

作为一个实际的应用系统直流稳压电源是必不可少的。本次课设设计的交通信号灯控制电路需要使用稳定的5V直流稳压电源来驱动各芯片使电路其正常工作。因此需要设计输出为5V的直流稳压电源。

直流稳压电源包括变压器降压、二极管(或整流桥)整流、电容滤波、集成稳压芯片稳压四部分。

直流稳压电源原理图如图4-1所示:

图4-1直流稳压电源的原理图

4.2秒脉冲发生模块

双极型555定时器由电阻分压器、比较器、基本RS触发器、双极型三极管T和输出缓冲器组成,其外部有八个引脚,第8脚为电源端,第1脚为接地端,第3脚为输出端,第4脚为直接复位端,第5脚为控制电压输入端,第6脚为复位控制端,第2脚为置位控制端,第7脚为放电端。如图4-2所示为555定时器引脚图:

如图4-2所示为555定时器组成的多谐震荡器原理图:

由555定时器组成的多谢震荡器的周期公式为:T=(R 1+2R 2)CLn2=0.7(R 1+2R 2)C,电源电压Vcc=+5,其中电路图中C 2的作用是防止电磁干扰对振荡电路的影响,一般选用0.01uF 的瓷片电容。再次要求输出T=1s,选用电容为C 1=1uF,R 1=560KΩ,根据振荡周期计算,选择电阻R 2=434KΩ。

根据原理图连接电路图如图4-3所示

图4-3多谐振荡器原理图

IC555

V DD DIS TH Vc

GND

TR

Vo

MR

图4-2所示为555定时器引脚图

8

4

3

7

555

652

1

R2C

0.01uF

U

R1

V CC

图4-4555多谢震荡器的连线图

4.3十二进制计数设计

由信号灯白天点亮流程图可以得知,任何方向的信号灯的一个工作循环为十二进制(绿、黄、红时间比例为5:1:6),因此需要设计十二进制计数器,循环工作控制白天信号灯的点亮。因此,用移位寄存器组成十二进制计数器,拟选用8位串入并出移位寄存器74LS164。

74LS164是具有异步清0、计数、保持等功能的8位串入并出移位寄存器,图4-4为其引脚排列和逻辑符号。

V cc Q H Q G Q F Q E R DˉCP

74LS164

A B Q A Q B Q C Q D GND

图4-574LS164引脚图

如表4-1所示为74LS164功能表:

输入

输出

清零时钟串入R D ′CP A B Q A Q B Q C Q D Q E Q F Q G Q H L ×××L L L L L L L L H L ××Q AO Q BO Q CO Q DO Q EO Q FO Q GO Q HO H ↑H H H Q An Q Bn Q Cn Q Dn Q En Q Fn Q Gn H ↑L ×L Q An Q Bn Q Cn Q Dn Q En Q Fn Q Gn H

×L

L

Q An

Q Bn

Q Cn

Q Dn

Q En

Q Fn

Q Gn

应用电路:用74LS164组成的12进制扭环型计数器电路,其电路图如图4-5所示。

4.4分频器电路的设计

十二进制计数器的时间单位为4秒,即它的CP 脉冲为4秒。为了使整体电路工作步调一致,4秒脉冲应该利用秒脉冲经分频获得,这就需要设计一个4分频器电路。秒脉冲经4分频后得到4秒脉冲,将其作为十二进制计数器的CP 脉冲。本次课程设计使用两个D 触发器组成4分频器电路。

表4-174LS164功能表

V CC Q H Q G Q F Q E R D ′CP

A

B

Q A

Q B

Q C

Q D

74LS164图4-6扭环型计数器电路图

Q 1’Q 1D 1

CP

Q 2’Q 2D 2

CP

1s

4s

图4-7四分频的电路原理图

CP D Q n+10X Q n 1001

1

1

4.5控制显示电路

逻辑控制电路是本设计的核心电路,由它控制交通信号灯按要求方式点亮(一般经驱动电路去控制信号灯)。根据白天信号灯的点亮要求,将时序逻辑电路的输出作为组合逻辑电路的输入,而组合逻辑电路的输出给信号灯的驱动电路。夜晚工作方式也需要组合逻辑电路的功能以及秒脉冲通过与门实现。组合逻辑电路的真值表如表4-3所示:

计数器输出

南北信号东西信号Q A Q B Q C Q D Q E Q F NSG NSY NSR EWG EWY EWR 0000001000011000001000011100001000011110001000011111001000011111101000011111110100010111110011000011110011000001110011000000110011000000010010100

1

1

表4-3逻辑功能真值表

74LS74

1R D 1D 1CP 1S D ’1Q 1Q’GND Vcc 2R D ’2D 2CP 2SD

2Q 2Q’

图4-874LS74引脚排列和逻辑符号

表.4-2D 触发器逻辑功能表

5.交通信号灯控制电路的仿真与调试

5.1仿真软件简介

NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。有如下特点:

通过直观的电路图捕捉环境,轻松设计电路;

通过交互式SPICE仿真,迅速了解电路行为;

借助高级电路分析,理解基本设计特征;

通过一个工具链,无缝地集成电路设计和虚拟测试;

通过改进、整合设计流程,减少建模错误并缩短研发时间。

5.2电路的仿真

按照原理图逐部分仿真,首先进行555定时器的仿真,仿真图如图5-1所示。

图5-1仿真图

5.3交通信号灯控制的实现

1、交通信号灯控制的完整电路图见仿真图

5.4调试方法

1.首先调试电源使220V交流通过变压器降压到交流9V。再通过整流、滤波、稳压电路输出+5V直流电压。

2.调试555定时器。用示波器观察555定时器输出波形,确定555定时器是否正常工作,振荡周期是否是1S。调节电位器R1,使555定时器产生周期为1S的方波信号。

3.调试四分频器。用示波器观察分频器输出波形,确定信号周期是否是4S。

4.整体调试。各部分电路连接起来,观察交通控制灯白天和晚上灯亮的情况是否符合设计要求。

5.5调试中出现的问题及解决方法

1.变压器匝数比调节使副边电压降到9V.

2.秒位进位不正常,测试波形时周期不稳,占空比也不稳,但大致不变。

3.四分频两个D触发器之间连接有误。

6.总结

通过本次课程设计,我明白了一个道理:无论做什么事情,都必需养成严谨,认真,善思的工作作风.我这课程设计由于我采用的是数字电路来实现的,所以电路较复杂,但是容易理解.每一部分我都能理解并且能有多种设计方法.

时间很紧,这一周又在忙碌中过去了,经过一周的数电课程设计,我从原先看见电路图就一头雾水到现在能够设计复杂的数字钟电路,并且能够实现电路的仿真与实物板的制作与调试,之间的巨大变化着实令人吃惊。但是这种进步来之不易,因为这期间我遇到了很多的困难,发现了很多的问题,正是在解决问题的期间我才慢慢地熟悉了数字电子技术基础的基础知识,才慢慢学会了如何去按照给定的要求设计出合适的电路,作出电路的实物并对电路进行调试。

本次课程设计主要是运用本学期所学到的数字电子技术基础知识来设计,本次设计不仅要求我们要掌握数字电子技术基础课程的基础知识,还要求我们对数字钟的各个组成部分的原理,包括振荡器的原理、计数器的原理、译码驱动原理都有深刻的理解和掌握,本次课程设计最重要的是要求我们能够运用所学的知识将几种单元电路组合起来,并且能够根据给定性能指标求解电路中的参数,最后在实践方面还要求我们要有一定的动手能力,能够根据电路图买到我们所需的原件,绘制出仿真电路并调试。

在课设过程中我遇到很多问题,原因有很多比如如何安装软件、调试过程中遇到的问题都不尽相同,但是通过问老师与同学交流都迎刃而解。

虽然我现在已经初步学会了如何设计符合要求的交通信号灯,但是离真正能够利用已学的数电知识自由设计使用电路的还有一段的距离。课设的这段时间我确实受益匪浅,不仅是因为它发生在特别的实践,更重要的是我的专业知识又有了很大的进步,因为进步总是让人快乐的。

参考文献

[1]阎石主编.数字电子技术基础(第五版).北京:高等教育出版社,2009

[2]multisim10应用教程.韩力.电子工业出版社,2008

附录

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

交通信号灯控制系统

株洲师范高等专科学校物理与电子工程系毕业论文 交通信号灯控制系统 姓名:汤知路 指导老师:肖利君 专业:应用电子技术 班级:07级应电班 学号:04207109 时间:2010-5-5至2010-5-28

摘要 随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。十字道口的交通红绿灯控制是保证交通安全和道路畅通的关键。本设计主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。应用的主要芯片有74LS163,74LS153,3-8译码器,555定时器电路等组成。 关键字:交通控制、交通灯、时间发生器、555定时器 Abstract With the development of society and economy, urban traffic problems and cause the attention of people. People, vehicles and road, the relationship of traffic management has become an important problem to be solved. Urban traffic control system is designed for urban traffic data monitoring and control traffic lights, traffic persuation computer integrated management system, it is the modern urban traffic control system is one of the most important parts of it. Cross the road traffic lights control is the key of traffic safety and roads. This design is mainly by the controller, timer and decoder and pulse signal generator, etc. Second is the system of pulse generator timer and standard of the clock signal controller, two groups of decoder output signal control signal, the driver circuit, drive signal after working controller is the main part of the system, by which control the timer and decoder of work. The main chip has 74LS163 application, 3-8, 74LS153 decoder, 555 timing circuits, etc.

西门子PLC交通信号灯控制系统设计(详细步骤)

毕业设计说明书 (2010 届) 课程名称:可编程控制器应用 题目:交通信号灯PLC控制系统设计专 业班级: 学生姓名: 学号:指导教师: 2010 年 1月 8 日

一、设计题目 交通信号灯PLC控制系统设计 二、设计目的 课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 三.设计要求 1技术要求: 某十字路口东西方向和南北方向各装有直行(包括右转弯)控制红、黄、绿交通信号灯和左转弯控制红、绿交通信号灯,另外还有到计时显示器。显示器用于显示相应方向直行控制当前点亮信号灯还要持续的时间(剩余时间),由另外的单片机系统构成。 PLC通过串口以自由口方式输出八位二进制数据,最高位为0表示东西方向数据。1表示南北方向数据,单位为秒。系统中有两个控制开关,东西控制开关SEW和南北控制开关SSN。SEW接通SSN关断则东西方向绿灯全亮南北红灯全亮,其他全灭。接通南北方向绿灯全亮,东西方向红灯全亮,其他全灭。SEW 和SSN都关断停止工作SEW和SSN都接通则进入正常工作状态,按照以下规律控制:(参考中华路与人民路交叉路口的信号灯) 2设计规律:: (1)系统启动后,南北红灯全亮35秒;与此同时东西直行绿灯亮20秒,东西左转弯红灯亮;(2) 东西灯亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒。(3)东西直行绿灯闪亮3 秒后变成黄灯亮,维持2秒;(4)东西直行黄灯亮2秒后变成红灯亮;同时东西左转弯绿灯亮,维持10秒;(5)东西左转弯绿灯亮10秒后变成红灯亮;(至此东西方向全是红灯亮,维持40秒);同时南北方向直行控制红灯灭,绿灯亮。维持20秒;南北左转弯继续红灯亮.;(6)南北直行绿亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒;(7)南北直行绿灯闪亮3秒后变成黄灯亮,

实训-交通信号灯控制系统

交通信号灯控制系统 重点内容: ●LED显示的驱动电路设计和LED显示输出扫描程序 ●按键输入电路和按键扫描程序 ●时间中断的使用。 一、实例说明 有如图所示的街区十字路口,需要为十字路口设计一个交通灯控制系统,该系统的要求如下:东南西北每个方向各有一个红绿灯组,每个红绿灯组包含红黄绿三种颜色的信号灯。 ●每天的23:00~次日凌晨的6:00,由于车流量较小,为了节省电能各个方向的红绿 灯出于休息状态(只亮黄灯)。 ●每天的6:00~23:00,红绿灯出于工作状态,两个互相垂直方向的绿灯交替点亮来 控制交通。交通灯工作状态过程如后所示,在某一个时间段东南方向红灯亮,西北方向绿灯亮;经过一定的时间后,西北方向该为黄灯闪烁,此时东南方向保持红灯; 西北方向红灯闪烁5秒后转为红灯,此时东南方向变为绿灯。依此东南方向的红绿灯和西北方向的红绿灯循环往复。

交通信号灯控制系统提供了一个控制面板,交警可以通过该面板可以调整红灯和绿灯亮的时间间隔、控制交通信号灯控制系统的开关等,从而达到控制交通流量的目的。交通信号灯控制系统的控制面板如下图所示,该面板共有6个LED,每3个LED 为一组,用于显示交通信号灯的点亮时长(单位为秒);面板上共有5个按键,用于控制交通灯控制系统的点亮时长和系统的开关。

二、硬件电路设计 1、LED输出电路 ●本案例中共有6个LED,为了充分利用ARM微处理器的IO资源,我们采用扫描的 方式。 ●LED的输出电路如上图所示,为了使电路比较清晰,这里只画出了其中两个LED。 每个七段码LED的a~g端口是并联,分别连接LPC2131的P1.19、P1.20、P1.21、P1.22、P1.23、P1.24和P1.25。而gnd端口各由一个管脚控制,6个七段码LED的gnd端分别连接LPC2131的P0.0、P0.1、P0.2、P0.3、P0.4和P0.5。当需要点亮其中某一个七段码LED时,将对应的gnd端口电平拉低,a~g端口根据需要显示的数字给不同的电平。这种连接方式在某一特定时刻只能点亮其中一个七段码LED,而其他的七段码LED处于熄灭状态。 ●为了让他们看上去是同时点亮并且能够显示不同的数值,我们利用人眼的视觉暂留 对他们进行扫描,在一个比较短的始终周期内(0.01s左右)轮流点亮6个七段码LED。 2、按键电路 ●本案例中的共有5个按键,如下图的控制面板所示,其中一个按键用来控制交通灯 控制系统的开关,而其他四个按键用来调整红灯和绿灯的持续时间 ●按键电路如下图所示,当没有任何按键被按下时,所有与按键连接的管脚为高电平;

交通信号灯控制电路的设计与仿真[详细]

唐山学院 《电子技术》课程设计 题目交通信号灯控制电路的设计与仿真系 (部) 信息工程系 班级 10电气自动化1班 姓名崔涛 学号 3100217138 指导教师王蕾成凤敏 2012年1月2日至 1月6 日共1周 2012年1月6日

目录 1 引言 (1) 1.1设计目的 (1) 1.2设计背景 (1) 2 设计原理 (3) 3 总体设计 (4) 3.1单元电路设计 (4) 3.2时序仿真结果 (12) 4 设计总结 (15) 参考文献 (16) 附录1器件明细表 (17) 附录2 仿真电路图 (18)

1 引言 1.1设计目的 通过一个学期的电子技术的学习,对一些电气原件有了初步的认识 ,这次的课程设计主要综合了解与运用所学的知识,通过这次课程设计来检查这一学期的学习状况.通过制作来了解交通灯控制系统,了解译码器、计数器、寄存器芯片的作用. 交通灯控制系统主要是实现城市交叉路口红绿灯的控制.在现代化的大城市中,十字交叉路口越来越多,在每一个交叉路口都需要有一个准确的时间间隔和转换顺序,这就需要一个安全、自动的系统对红、黄、绿的转化进行管理.本次的设计就是基于此目的进行的. 设计交通信号控制灯要求某方向绿灯点亮20秒,然后黄灯点亮4秒,最后红灯点亮24秒.在该方向为绿灯和黄灯点亮期间,另一方向红灯点亮. 如果以4秒作为时间计量单位,则某一方向绿、黄、红三种指示灯点亮的时间比例为5:1:6. 从点亮要求可以看出,有些输出是并行的:如南北方向绿灯亮时,东西方向红灯亮;南北方向黄灯亮时,东西方向红灯亮;南北方向红灯亮时,东西方向绿灯亮;南北方向红灯亮时,东西方向黄灯亮.信号灯采用LED红、绿、黄发光二极管模拟. 夜间工作方式 南北东西各方向黄灯亮,且每秒闪动一次.其它灯不亮.要求设置一个手动开关,用它控制白天和夜间工作方式. 1.2设计背景 随着世界范围内城市化和机动化进程的加快,城市交通越来越成为一个全球化的问题.城市交通基础设施供给滞后于高速机动化增长需求,道路堵塞日趋加重,交通事故频繁,环境污染加剧等问题普遍存在.目前,全国大中城市普遍存在着道路拥挤、车辆堵塞、交通秩序混乱的现象,交通事故频发,这给人民的生命财产安全带来了极大的损失.如何解决城市交通问题已成为全社会关注的焦点和大众的迫切呼声.探究城市交通发展中存在问题的原因,无论是从宏观上还是从微观上分析,其根本原因在于城市交通系统的管理机制不适应. 城市交通控制系统(UTC ,Urban Traffic Control Syste米)是现代城市智能交通系统(IDJ ,Intelligent transport syste米)的组成之一,主要用于城市道路交通的控制与管理.城市平交路口实现交通信号控制是城市交通管理现代化的基本标志之一,是提高交通管理效能的重要技术手段.路口信号控制器是控制交叉路口交通信号的设备,它是交通信号控制的重要组成部分.各种交通控制方案,最终都要由路口信号控制器来实现.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥.伴随着社会的发展以及人类生活水平的提高,汽车的数量在

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

基于51单片机的十字路口交通灯控制系统设计(含源码及仿真图)

课程设计任务书 专业计算机科学与技术 班级09计(嵌入式系统方向)姓名江海洋 学号0905101072 指导教师刘钰 金陵科技学院教务处制

摘要 本文介绍了一个基于MCS-51及PROTEUS的十字路口交通灯控制系统的设计与仿真,通过对现实路况交通灯的分析研究,理解交通控制系统的实现方法。 十字路口交通灯控制系统通常要实现自动控制和在紧急情况下禁止普通车辆,而让紧急车辆优先通行。本文还对MCS-51单片机的结构特点和重要引脚功能进行了介绍,同时对智能交通灯控制系统的设计进行了详细的分析。最后介绍了PROTEUS嵌入式系统仿真与开发平台的使用方法,利用Proteus 软件对交通灯控制系统进行了仿真,仿真结果表明系统工作性能良好。 关键字:单片机,proteus仿真,中断,十字路口交通灯控制系统

前言 1,十字路口的交通控制系统指挥着人和各种车辆的安全运行,对交叉口实行科学的管理与控制是交通控制工程的重要研究课题,是保障交叉口的交通安全和充分发挥交叉口的通行能力的重要措施,是解决城市交通问题的有效途径。交通灯信号灯的出现是人类历史上的一次重大改革,使人类的聚居生活,产生了深远的影响。使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故有明显效果。如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。随着电子技术的发展,利用单片机技术对交通灯进行智能化管理,已成为目前广泛采用的方法。 2,此十字路口交通灯控制系统,分东西道和南北道,设东西道为A道,南北道为B 道。规定:A道放行时间为2分钟,B道放行1.5分钟;绿灯放行,红灯停止;绿灯转红灯时,黄灯亮2秒钟;若有紧急车辆要求通过时,此系统应能禁止普通车辆,而让紧急车辆通过。 3,应用单片机实现对交通灯的控制,在十字路口用红,黄,绿的指示灯,加上四个以倒计时显示的数码管来控制交通。考虑到紧急车辆,设计紧急车辆开关。

电子电路设计交通信号灯讲解

课程设计 本科生通用课设题目:交通信号控制器 电子信息工程专业班级: 名:姓 学号: 指导教师: 2012-12-28 时间: 成绩:

前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 本设计通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。本实验设计目的是培养数字电路的能力,掌握交通信号灯控制电路的设计方法。 目录 一.设计要求 (3) 二.系统概述 (4) 三.总体设计方案 (5) 四.各部分电路框图 (6) 4.1秒脉冲信号发生器的设计 (6)

4.2定时器的设计 (7) 4.3 控制器的设计 (8) 4.4 显示电路的设计 (11) 五.本人负责的电路设计 (12) 5.1电路图 (12) 5.2设计原理 (13) 5.3工作逻辑原理 (14) 5.4 仿真结果 (15) 六.结束语 (16) 6.1 总结及心得体会 (17) 6.2 总体电路图见附图 (17) 参考文献 (19) 一.设计要求: 设计一个十字多路口交通信号灯控制电路,用来控制主干道和支干道的红,绿,黄三种信号灯的亮与灭; 1、主干道处于允许通行状态亮绿灯60秒,行人灯同时亮绿灯,行人灯绿变红闪烁5秒后主干道开始黄灯闪烁10秒,然后同时变红灯,主干道左、右转弯绿灯亮30秒黄灯5秒变红; 2、支干道绿灯亮50 秒,行人灯亮25秒红灯闪烁,5秒后支干道黄灯闪烁5秒,之后与行人灯同时变红;支干道绿灯

交通信号灯控制器设计方案一

课程设计(综合实验)报告( 2012 —2013 年度第一学期) 名称:电子技术综合实验 题目:交通信号灯控制器 院系:电气与电子工程学院 班级: 学号: 学生姓名: 指导教师:刘春颖 设计周数:一周 成绩: 日期:2013年1 月15 日

《电子技术》综合实验 任务书 一、目的与要求 1.目的 1.1课程设计是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握课程设计的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写课程设计总结报告。 2.6通过课程设计,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在课程设计过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。 1.移位寄存器型彩灯控制器 2.智力竞赛抢答器 3.电子拔河游戏机 4.交通信号灯控制器 5.数字电子钟 6.电子密码锁

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

道路交通信号灯控制系统设计说明书

安徽科技学院数理与信息工程学院 《单片机原理与应用设计》课程设计 设计说明书 题目: 道路交通信号灯控制系统 专业: 电气工程及其自动化 班级: 12级1班 指导教师: 2014 年12 月 9 日

目录 一、概述 (3) 1、设计背景 (3) 2、设计要求 (3) 二、整体设计原理 (3) 1、设计原理 (3) 2、硬件电路分析 (4) 三、硬件电路 (5) 1、晶振电路 (5) 2、硬件电路 (5) 四、软件设计 (6) 1、主程序设计 (6) 2、程序代码分析 (7) 3、元件清单 (9) 五、测试 (10) 1、仿真调试 (10) 六、心得体会 (13) 七、附录 (14) 1、参考文献 (14) 2、完整程序代码 (14)

一、概述 1、设计背景 根据规定本学期13、14周为本专业课程设计,要求同班同学五人一组利用单片机相关知识和proteus仿真软件实现所选课题相关功能。 由于我们组在大二数、模电课程设计中做过交通灯相关课题,因此本次课程设计在组织好团队后,经讨论我们一致决定选择道路交通信号灯控制系统作为本组课程设计内容。 2、设计要求 (1)设计目的 随着单片机应用的日益广泛,在校学生加强对单片机动手实践能力的培养,已经是非常重要的一项锻炼。课程设计就是为加强实践机会、培养学生动手能力的一个重要环节,将理论知识与实际联系起来的一个关键机会。 (2)设计任务 ①设计四组十字路口的红、绿、兰三色交通灯,并模拟交通灯的现场情形,控制交通灯的亮灭。 ②设计四组 LED 显示器,分别倒计时显示十字路口每个方向的红灯或绿灯的剩余时间。 ③可适当根据实际需要增加扩展功能。 ④利用 PROTEUS 软件画出电路图,根据以上功能编写软件,并在硬件电路上成功运行或仿真。 二、整体设计原理 1、设计原理 实际交通灯的变化规律实际交通灯分为东南西北四个方向以及左转右转,本次课程设计我们涉及的是简易交通灯,不包含左转右转,只包括东西直行和南北直行,原理较为简单,下图是十字楼口的模拟图。

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯的仿真与设计

交通灯的仿真与设计 1.引言 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力、减少交通事故有明显效果。因此,如何采用合适的方法,使交通信号灯的控制与交通疏导有机结合,最大限度缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。以下就一简单的交通灯控制系统的原理、设计和仿真等问题进行讨论。2.设计任务与要求 2.1 设计任务 1、设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2、要求黄灯先亮5秒,才能变换运行车道; 3、黄灯亮时,要求每秒钟闪亮一次 4、每路口均有时间显示(两位LED) 2.2 设计要求: 1、画出总体设计框图,以说明交通灯由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图、真值表(或状态转换图)并加上原理说明。 3、有条件时选择合适的元器件,在面包上接线验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的测试和故障排除。 4、设计整个电路的电路图,加上原理说明。有条件时对整个电路的元器件和布线进行合理布局,并进行整个交通灯电路的接线调试。 3.交通灯控制电路的设计方案 3.1 总体方案设计

交通信号灯数字电路设计

交通信号灯可动控制器 一、设计目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用EWB路仿真。 4、通过查阅手册和文献资料,培养学生独立分析问题和解决问题的能力。 巩固所学知识,加强综合能力,提高实验技能,启发创新能力的效果 1、5、培养学生创新能力和创新思维。让学生通过动手动脑解决实际问题, 巩固课程中所学的理论知识和实验技能。 南北方向绿灯(NSG)亮70s,东西方向红灯亮EWR)亮; 二、设计任务 设计一个交通灯控制电路,实现对城市十字路口的交通灯控制。技术要求为: 1、东西方向绿灯(EWG)亮70s,南北方向红灯亮(NSR)亮; 2、东西方向黄灯(EWY)亮5s,南北方向红灯亮(NSR)亮; 3、南北方向黄灯(NSY)亮5s,东西方向红灯亮(EWR)亮。 设计任务分析 本实验的知识点为:任意进制数加减计数器设计,触发器,555定时电路的 工作原理以及基本控制逻辑电路的设计方法,参数计算和检测调试。 (1)秒振荡电路应能输出频率分别为为1H幅度为5V的时钟脉冲,要求误 差不超过0.1S。为提高精度,可用555设计一个输出频率为1Hz的多谐振荡器(2)计数器电路应具有75秒倒计时功能,可以通过2片74LS190级联来实现。 (3)各个方向的倒计时显示可共用一套译码显示电路,只要用2个4输入 的BCD_HEX数码管接74LS190的输出即可实现。 (4)主控制电路和信号灯译码驱动用各种门电路和JK触发器组成,应能实 现计时电路的转换、各方向信号灯的控制。 三、设计框图 首先分析实际交通灯控制电路,从主干道(南北方向)和支干道(东西方 向)入手,路口均有红、黄、绿三个交通灯显示数码管。其示意图如下: 图1-1 十字路口交通信号灯控制示意图 1.系统工作流程图 设主干道通行时间为N 1,支干道通行时间为N 2 ,主、支干道黄灯亮的时间均 为N 3,通常设置为N 1 =N 2 >N 3 。系统工作流程图如图所示:

交通信号灯控制系统

交通信号灯控制系统(红绿灯系统) 1、概述 近年来,随着经济发展,营运车辆拥有量的增加使道路市场必须规有序,交通安全管理必须上一新台阶。按照“高起点规划,高标准建设,高效能管理”的思路,坚持把城市化作为城市经济的一大战略来抓,积极建设城区交通基础设施工程,建立交通安全管理网络。严格抓好交通管理,以加强交通队伍建设和行业文明建设。 对****信号控制系统进行升级改造,在*****新建设一套信号控制系统 2、设计依据 ?《道路交通信号控制机》(GB25280-2010) ?《道路交通信号灯》(GB14887-2011) ?《道路交通信号灯设置与安装规》(GB14886-2006) ?《道路交通信号倒计时显示器》(GA/T508-2004) ?《道路交通安全行为图像取证技术规》(GA/T832-2009) ?《交通信号机技术要求与测试方法》(GA/T47-93) ?《道路交通信号机标准》(GA47-2002) ?《道路交通信号灯安装规》(GB14866-94) 3、设计原则 本期工程按“国领先、国际先进”的原则设计方案,提供完整、最新而成熟的产品,并保证各项技术和设备的先进性、实用性和扩展性。提高交通道路口的车辆通行速度,保证道路畅通。因此该系统是建设畅通工程中的重要措施之一。 信号控制系统的设置应充分结合本路段的工程自身特点,在达到适时、适量地提供交通信息,确保行车安全目的的同时,尽可能与道路的整体效果相结合。 1)设计思路 以有效地管理道路交通,达到安全、经济、合理、美观为目的,严格按照国家有关规定设置信号灯等交通设施。

交通拥挤情况主要发生在车流人流相对集中的主要繁华城区路口和路段,根据现有主要交通干道路面宽度划分车道,基本可以满足城区车辆通行的需要。 2)预期实现目标 完善城区交通安全设施布局,规行车和行人秩序,减少交通事故,一定程度上改善城市形象。 4、交通信号控制系统功能 (1)图形与界面 系统界面中文化、图形化、菜单化。命令操作方式灵活多样,并对错误操作发出警告或禁止执行。 能多用户、多窗口显示,显示窗口可缩放、移动。 具有图形编辑工具,可以对图形的区域背景、路口背景等进行用户化编辑。 背景地图可按管理区域和路口进行缩放和漫游显示。 能够实时显示路口设备、路口设备工作状态及信号控制模式等信息。 系统可动态、实时地显示路口信号灯的运行状况,并可对某一路口的信号灯变化进行实时显示;还可以根据需要直接对信号机进行手动操作功能。 能够用图表显示交通流量、占有率等统计分析数据。 (2)用户管理 系统能够支持至少50个用户的使用和管理,对用户的名称、密码和访问角色等相关容进行设置。 能够设立访问角色,能够定义相应的访问权限,每个用户可以对应多个角色。 组管理:每个组可以有多个用户,所有用户不能重名,不同的组可以管理不同的路口设备。 记录用户登录和退出系统的时间及用户使用过的操作命令,显示用户是否在线。 禁止多用户对同一对象同时进行控制操作,并给出提示信息。 (3)日志管理 操作员记录:操作员登录/退出时间、部分重要操作命令记录。 记录保存时间:系统至少保留最近12个月的综合日志记录。

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

交通灯(proteus仿真设计+程序)

52单片机简易交通灯proteus仿真设计原理 交通灯作为日常生活中必不可少的交通标志,它的设计是单 片机初学者必不可少要接受的一项课题,下面简单介绍用proteus 仿真一个由52单片机控制的简易交通灯。 本设计主要要求以下几个方面:一是根据系统控制要求设计 硬件电路,这里是用PROTEUS软件来完成;二是根据硬件电路编写 相应的程序流程图然后编写相关程序,这里程序的编制主要是用KeilC51软件来完成;三是在KEIL上用已经编好的程序生成.hex 文件载入到PROTEUS中,实现PROTEUS与KEIL的联调,完成调试 和仿真,观察调试结果是否满足设计要求,。 一:设计方案及重点: 首先南北方向红灯、东西方向绿灯亮,南北方向红灯35秒、东西方向绿灯35秒,相应的数码管显示对应的数字并读秒,同时南北方向红色的交通灯和东西方向的绿色交通灯接通点亮显示,当东西方向的绿灯时间到,则东西方向的绿灯转为黄灯,同时数码管显示黄灯的时间3秒,东西方向的黄色二极管接通点亮,此时南北方向的红灯不变。南北方向的红灯和东西方向的黄灯时间同时到,此时南北方向的红灯跳转为绿灯,时间同北方向的绿灯时间到,南北绿灯跳转为黄灯,东西方向的红灯不变,当南北方向的黄灯和东西方向的红灯时间到,南北方向的黄灯跳转为红灯,东西方向的红灯跳转为绿灯。

设计重点: 1.数码显示管的计时 2.数码管控制交通灯的转换 3.锁存器与位选器端口的选择 4.电路连接与程序编写 二:仿真器件的介绍: 1.单片机芯片:AT89C52, AT89C52是一个低电压,高性能CMOS 8位单片机, AT89C52单片机在电子行业中有着广泛的应用。 2.数码管:7SEG-MPX2-CC,这是一个两位数共阴极的数码管, 1就是左边数码管的阴极2就是右边数码管的阴极,a,b,c,d,e,f,g,就是数码管的段码,dp就是数码管的小数点 3.锁存器与位选器:74HC573,具体作用:74HC573锁存器在数码管显示时作用的确是为了节省IO口,单片机P0口先发送abcdefghp段选信号,这时使用一个74HC573将段选信号保存住,单片机P0口再发送位选信号,此时单片机P0口信号不影响被锁存住的段选信号。,使用另一个74HC573锁存住位选信号。按以上循环,显示8位数码管只需要10个IO口。 4.上拉电阻:RESPACK-8,作用,拉高端口电压,稳定端口电压值。 5.交通灯:TRAFFIC LIGHTS。

相关主题
文本预览
相关文档 最新文档