当前位置:文档之家› EDA课程实训设计(交通信号灯设计实现)12页

EDA课程实训设计(交通信号灯设计实现)12页

EDA课程实训设计(交通信号灯设计实现)12页
EDA课程实训设计(交通信号灯设计实现)12页

交通灯的设计与实现

一、实训目的

提高学生动手编程能力和对EDA可编程逻辑器件课程在实际生活中的应用的能力。

二、实训内容及要求

在EDA实验箱上完成十字路口交通灯的设计与实现。要求将实验箱上的8个LED灯中的6个表示东西方向和南北方向的红黄绿交通灯。同时在数码管上显示等待时间,并在数秒后进行红绿灯的转换,可增加扩展功能。

三、实训软硬件简介

1.Quartus II 8.0

Quartus II 8.0是著名可编程逻辑器件生产商Altera的综合性开发软件,在21世纪推出,可以在XP,linux,unix上使用,已取代MAX+PLUSⅡ,它提供了一种与结构无关的设计与环境,使设计者能方便地进行设计输入、快速处理和器件编程。其便于其支持的硬件描述语言有VHDL、Verilog等,解决了原理图与HDL混合输入设计的问题。编译仿真检测无误后,便可以下载信息通过编程器下载至目标器件中了。

2.EDA综合实验箱

综合实验箱可分为实验主板和可编程逻辑器件核心两部分,可编程逻辑器件核心板可以按需更换,以满足不同要求。实验箱主要具有以下几个特点:

★实验箱电路动态可重组:可按需配置成不同连接,以满足不同实验项目的需要

★可独立支持MCS—51单片机实验:具有仿真功能,不需要额外配备昂贵的仿真器。★可独立完成可编程逻辑器件实验:可按需更换不同芯片模板,满足不同实验需要;★提供了扩展接口:让学生可以设计自己的电路连接到试验箱;

★灵活支撑综合性、创造性实验:有利于训练学生综合创新能力。

综合实验箱采用模式化结构,可以通过不同的模式选择是进行单片机实验还是可编程实验。通过主控电路选择左侧的单片机或者是下侧的可编程器件,选择需要的外围资源。主要硬件资源由三个部分构成:单片机资源、可编程逻辑器件资源、以及一些常用外设资源。

四、实训设计思想

1.设计效果

将实训要求和实际生活中的交通信号灯状态相结合,本系统设计实现数码管实时显示东西和南北两个方向的交通信号灯的通行和等待的剩余时间,在实验箱的LED灯中选取6个灯分别代表两个方向的红绿黄灯同时与数码管显示的时间相对应,为了防止出现交通灯出现临时性错误,设计一个复位键,以能够及时恢复至正常状态。因深夜时车辆较少,故而设计一个按键在深夜时将交通灯全部变为黄灯闪烁,提醒车辆减速行驶。

2.程序代码设计思想

经过分析,交通信号灯在正常情况下分为6个状态,同时增加两种特殊情况下出现的两种状态;本系统运用状态机,将系统频率clk通过分频器分频,实现了LED灯的闪烁和数码管的显示功能,同时增加了两个额外特殊情况处理功能,成功模拟了现实生活中的交通信号灯的运作状况。

(1)分频设计

因数码管和LED 灯的显示频率不同,故需要对系统clk 进行分频,分频器实现的是将高频时钟信号转换成低频的时钟信号,用于触发控制器、计数器和扫描显示电路。该分频器实现的是一千分频,将一千赫兹的时钟信号分频成一赫兹的时钟信号。

图1 分频器部分原理图

(2)状态机设计

根据要实现的功能及各状态之间的转换经分析,正常状态下交通信号灯可以分为4个状态分别为表一中的S1、S2、S3、S4,在出现特殊情况或者在深夜时,状态转移分别是S5、S6,各状态之间持续时间详见表一,状态转移图详见图2.。

(3)显示模块设计

串行连接,即每个数码管对应的引脚都连接在一起,通过控制公共端为高电平控制相应数码管的亮,灭(共阴极数码管的公共端为高电平时,LED 不亮;共阳极的公共端为低电平时,LED 不亮) 五、实训步骤及仿真结果

CNT1=

CNT1=

图 2 交通信号灯状态转

1.实训步骤

·设计输入:使用原理图、硬件描述语言(VHDL、AHDL、VerilogHDL)等设计文件对待设计的功能做设计图。

·综合:将硬件描述语言翻译成由逻辑门、触发器、寄存器等组成的电路结构。

·布局布线:利用综合后的网表文件,将逻辑和时序要求映射到目标器件中,进行目标器件逻辑资源的分配、布线、互联等。

·仿真:利用软件,验证设计的正确性。仿真分为功能仿真和时序仿真,功能仿真仅验证逻辑功能,不包含时延信息。

·时序分析:观察和报告时序信息,并可进行时序约束。主要参数有:建立时间(tsu)、保持时间(th)、时钟至输出延时(tco)、引脚至引脚延时(tpd)、最大时钟频率(fmax)等.

·编程和配置:设计流程的最后一步,将软件产生的配置文件下载到目标器件中,进行硬件的实现和验证。

2.时序仿真

3.硬件仿真

在完成设计输入及成功编译、仿真后经编译无错后,设置pins锁定引脚,(详见管脚分配表2),经过硬件检验,可以实现如下功能:

1)在交通信号灯开始工作前,等待系统指令。

2)按下key0键,系统切换至正常工作,交通信号灯在正常的顺序下开始闪烁,同

时数码管可以实时显示剩余等待时间。

3)按下key1键,系统切换至红灯状态,目的为了在交通事故出现后及时进行事故

处理。

4)按下key2键,系统切换至深夜工作状态,全部亮黄灯提醒,过往车辆慢速行驶。

六、实训总结

在这次EDA实训中,我们充分运用了上学期学习的知识,将分频器和状态机等知识融入其中,实现了更加智能化的交通灯系统设计,程序代码更易扩展。通过一个星期的实训,我们对于交通灯的控制原理有了清晰的了解,进一步加深了EDA程序设计的熟练程度。对Quartus II 8.0 仿真工具使用更加得心应手。这次实训,我们分工明确,积极配合,体现了团队协作的精神,圆满完成了实训任务。

附录

(十字路口交通灯程序代码)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity jtd is

port( clock : in std_logic;

reset,reset1,reset2 : in std_logic;

seg_out : out std_logic_vector(7 downto 0); q_out : out std_logic_vector(7 downto 0); w:out std_logic_vector(7 downto 0)

end ;

architecture bhv of jtd is

component qhz_any

port( clk: in std_logic;

Q: out std_logic

end component;

component qhz_any1

port( clk: in std_logic;

Q: out std_logic

end component;

component jsq0123

port( clk: in std_logic;

Q: out std_logic_vector(1 downto 0));

end component;

component mux21a

port( s : in std_logic_vector(1 downto 0);

a,b,c,d : in std_logic_vector(7 downto 0); y : out std_logic_vector(7 downto 0);

w1:out std_logic_vector(7 downto 0)

end component;

component traffic

port( clk : in std_logic;

rst,rst1 ,rst2: in std_logic;

times : out integer range 0 to 100;

q : out std_logic_vector(7 downto 0);

shi0,shi1: out std_logic_vector(7 downto 0); ge0,ge1: out std_logic_vector(7 downto 0) end component;

signal m1 : std_logic;

signal m2 : std_logic;

signal m3 : integer range 0 to 100;

signal m4 : std_logic_vector(7 downto 0);

signal m5 : std_logic_vector(7 downto 0);

signal m6 : std_logic_vector(1 downto 0);

signal m7 : std_logic_vector(7 downto 0);

signal m8 : std_logic_vector(7 downto 0);

begin

u1 : qhz_any port map(clk=>clock,Q=>m1);

u2 : qhz_any1 port map(clk=>clock,Q=>m2);

u3 : jsq0123 port map(clk=>m2,Q=>m6);

u4 : traffic port map(clk=>m1,q=>q_out,rst=>reset,rst1=>reset1,rst2=>reset2,ge0=>m4,shi0=> m5,ge1=>m7,shi1=>m8);

u5 : mux21a port map(a=>m4,b=>m5,c=>m7,d=>m8,y=>seg_out,s=>m6,w1=>w);

end bhv;

-------------miao fenpin-----------

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity qhz_any is

generic(n:integer:=20000);

port( clk: in std_logic;

Q: out std_logic);

end qhz_any;

architecture bhv of qhz_any is

begin

process(clk)

variable cout:integer:=0;

begin

if clk'event and clk='1' then

if cout<(n/2) then

Q<='1'; cout:=cout+1;

elsif cout<(n-1) then

Q<='0'; cout:=cout+1;

else cout:=0;

end if;

end if;

end process;

end bhv;

-------------jishuqi0123-----------

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity jsq0123 is

port( clk: in std_logic;

Q: out std_logic_vector(1 downto 0));

end jsq0123;

architecture bhv of jsq0123 is

signal cqi:std_logic_vector(1 downto 0);

begin

process(clk)

begin

if clk'event and clk='1' then

cqi<=cqi+1;

end if;

end process;

Q<=cqi;

end bhv;

----------------scan fenpin----------- library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity qhz_any1 is

generic(n:integer:=20);

port( clk: in std_logic;

Q: out std_logic);

end qhz_any1;

architecture bhv of qhz_any1 is

begin

process(clk)

variable cout:integer:=0;

begin

if clk'event and clk='1' then

if cout<(n/2) then

Q<='1'; cout:=cout+1;

elsif cout<(n-1) then

Q<='0'; cout:=cout+1;

else cout:=0;

end if;

end if;

end process;

end bhv;

--------------------traffic-------------

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity traffic is

port( clk : in std_logic;

rst ,rst1,rst2: in std_logic;

times : out integer range 0 to 100;

q : out std_logic_vector(7 downto 0);

shi0,ge0,ge1,shi1:out std_logic_vector(7 downto 0)); end traffic;

architecture bhv of traffic is

signal cnt0,cnt1 : integer range 0 to 100;

signal l10,l20,l11,l21:integer range 0 to 9;

type state_value is (s1,s2,s3,s4,s5,s6);

signal state : state_value;

begin

process(clk,rst,rst1,rst2)

begin

if rst='0' then

state<=s1; --S,N travel E,W stop cnt0<=11;

cnt1<=7;

q<="10000001";

elsif rst1='0' then

state<=s5;

cnt1<=00;

cnt0<=00;

q<="10001000";

elsif rst2='0' then

state<=s6;

cnt1<=00;

cnt0<=00;

q<="01000010";

elsif rising_edge(clk) then

case state is

when s1=> --s1

if cnt1=0 then

state<=s2;

q<="10000010";

cnt0<=3;

cnt1<=3;

else

state<=s1;

cnt0<=cnt0-1;

cnt1<=cnt1-1;

end if;

when s2=> --s2 if cnt1=0 then

state<=s3;

q<="00100100";

cnt1<=11;

cnt0<=7;

else

state<=s2;

cnt1<=cnt1-1;

cnt0<=cnt0-1;

end if;

when s3=> --s3 if cnt1=4 then

state<=s4;

q<="01000100";

cnt0<=3;

cnt1<=3;

else

state<=s3;

cnt1<=cnt1-1;

cnt0<=cnt0-1;

end if;

when s4=> --s4 if cnt1=0 then

state<=s1;

cnt0<=11;

cnt1<=7;

q<="10000001";

else

state<=s4;

cnt0<=cnt0-1;

cnt1<=cnt1-1;

end if;

when s5=> --s4

state<=s5;

cnt0<=00;

cnt1<=00;

when s6=> --s4 state<=s6;

cnt0<=00;

cnt1<=00;

end case;

--end if;

end if;

end process;

l10<=cnt0/10; l20<=cnt0 rem 10;---- JI SUAN SHI WEI ; GE WEI

l11<=cnt1/10; l21<=cnt1 rem 10;---- JI SUAN SHI WEI ; GE WEI process(l10)

begin

case l10 is ------- XIAN SHI SHI WEI

when 0=>shi0<="00111111"; --0

when 1=>shi0<="00000110"; --1

when 2=>shi0<="01011011"; --2

when 3=>shi0<="01001111"; --3

when 4=>shi0<="01100110"; --4

when 5=>shi0<="01101101"; --5

when 6=>shi0<="01111101"; --6

when 7=>shi0<="00000111"; --7

when 8=>shi0<="01111111"; --8

when 9=>shi0<="01101111"; --9

end case;

end process;

process(l20)

begin

case l20 is -------- XIAN SHI GE WEI

when 0=>ge0<="00111111"; --0

when 1=>ge0<="00000110"; --1

when 2=>ge0<="01011011"; --2

when 3=>ge0<="01001111"; --3

when 4=>ge0<="01100110"; --4

when 5=>ge0<="01101101"; --5

when 6=>ge0<="01111101"; --6

when 7=>ge0<="00000111"; --7

when 8=>ge0<="01111111"; --8

when 9=>ge0<="01101111"; --9

end case;

end process;

process(l11)

begin

case l11 is ------- XIAN SHI SHI WEI when 0=>shi1<="00111111"; --0

when 1=>shi1<="00000110"; --1

when 2=>shi1<="01011011"; --2

when 3=>shi1<="01001111"; --3

when 4=>shi1<="01100110"; --4

when 5=>shi1<="01101101"; --5

when 6=>shi1<="01111101"; --6

when 7=>shi1<="00000111"; --7

when 8=>shi1<="01111111"; --8

when 9=>shi1<="01101111"; --9

end case;

end process;

process(l21)

begin

case l21 is -------- XIAN SHI GE WEI when 0=>ge1<="00111111"; --0

when 1=>ge1<="00000110"; --1

when 2=>ge1<="01011011"; --2

when 3=>ge1<="01001111"; --3

when 4=>ge1<="01100110"; --4

when 5=>ge1<="01101101"; --5

when 6=>ge1<="01111101"; --6

when 7=>ge1<="00000111"; --7

when 8=>ge1<="01111111"; --8

when 9=>ge1<="01101111"; --9

end case;

end process;

end bhv;

---------------------------2 xuan 1-----------

library ieee;

use ieee.std_logic_1164.all;

entity mux21a is

port( s : in std_logic_vector(1 downto 0);

a,b,c,d : in std_logic_vector(7 downto 0);

y : out std_logic_vector(7 downto 0);

w1:out std_logic_vector(7 downto 0)

end mux21a;

architecture one of mux21a is

begin

process(a,b,s)

begin

case s is

when "00" => y<=a; w1<="10111111";

when "01"=>y<=b; w1<="01111111";

when "10"=>y<=c;w1<="11111011";

when "11"=>y<=d;w1<="11110111";

end case;

end process;

end one;

希望以上资料对你有所帮助,附励志名言3条:

1、宁可辛苦一阵子,不要苦一辈子。

2、为成功找方法,不为失败找借口。

3、蔚蓝的天空虽然美丽,经常风云莫测的人却是起落无从。但他往往会成为风云人物,因为他经得起大风大浪的考验。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

eda课程设计心得体会

eda课程设计心得体会 写心得体会是困扰很多人的问题,心中有很多想法,想说却不知道怎么写下来。下面本栏目搜集了eda课程设计心得体会,欢迎查看,希望帮助到大家。 eda课程设计心得体会一这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

EDA课程设计参考题目

EDA课程设计参考题目一、设计彩灯控制器一 要求: 1.有八只LED,L0……L7 2.显示顺序如下表 3

要求: 1.8 个灯全亮; 2.8 个灯全灭; 3.从左边第一个开始每隔一个亮; 4.从右边第一个开始每隔一个灭; 5.左4个灭,右4个亮; 6.左4个亮,右4个灭; 7.显示间隔0.5S,1S可调。 三、设计彩灯控制器三 要求: 1. 有十只LED,L0……L9 2. 显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调。 四、自设计动奏乐器一 要求: 1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。 1 1 5 5 6 6 5 – 4 4 3 3 2 2 1 – 5 5 4 4 3 3 2 – 5 5 4 4 3 3 2 – 3.附加:显示乐谱。 五、设计自动奏乐器二 要求: 1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。 1 3 1 3 5 6 5 – 6 6 ? 1 6 5 ––– 6 6 ? 1 6 5 5 3 1 2 2 3 2 1 ––– 3.乐曲自选。 4.附加:显示乐谱。 六、设计汽车尾灯控制器 要求: 1.用6个发光二极管模拟6个汽车尾灯(左、右各3个)。 2.汽车往前行驶时,6个灯全灭。当汽车转弯时,若右转弯,右边3个尾灯从左至右顺序 亮灭,左边3个灯全灭;若左转弯,左边3个尾灯从右至左顺序亮灭,右边3个灯全灭; 汽车刹车时,6个尾灯同时明、暗闪烁;汽车在夜间行驶时,左右两侧的灯同时亮,供照明使用。

要求: 1.在十字路口的两个方向上各设一组红绿黄灯,显示顺序为:其中一个方向是绿灯、黄灯、 红灯,另一个方向是红灯、绿灯、黄灯。 2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、 红灯的持续时间分别是20s、5s、25s。 八、设计数字频率计 要求: 1.输入为矩形脉冲,频率范围0~99MHz; 2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来; 3.单位为Hz和KHz两档,自动切换。 九、设计智力竞赛抢答器 要求: 1.四人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 3.有人抢答时,喇叭响两秒钟; 4.答题时限为100秒钟(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 十、设计数字秒表 要求: 1.要求设置启/停开关。当按下启/停开关,将启动秒表开始计时,当再按一下启/停开关时, 将终止计时操作。 2.数字秒表的计时范围是0秒~59分59.99…… 3.要求计时精度为0.01s。 4.复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就 清零,并做好下次计时的准备。 十一、设计数字钟 要求: 1.具有时、分、秒计数显示功能,且以24小时循环计时。 2.具胡清零的功能,且能够对计时系统的小时、分钟进行调整。 3.具有整点报时功能。 十二、设计三层电梯控制器 要求: 1.每层电梯入口处设有上下请求开关,电梯内有乘客到达层数的的停站请求开关。 2.设有电梯所处位置指示装置及电梯运行模式(上升和下降)指示装置。 3.电梯每秒钟升(降)一层。 4.电梯到达有请求的楼层,电梯经过lS电梯门开,打开4S后,电梯门关闭(开门指示灯灭)。电梯继续运行,直至完成最后的一个请求信号后停留在当前层。 5.能记忆电梯内外所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号留至执行完后消除。 6.电梯运行规则:当电梯处于上升模式时,只响应比电梯所在的位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼清求执行完毕;如果高层有下楼请求,则直接升到有下

EDA课程设计心得体会

EDA课程设计心得体会 这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA 的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。 其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢! PLC实训心得 和学别的学科一样,在学完PLC理论课程后我们做了课程设计,此次设计以分组的方式进行,每组有一个题目。我们做的是一个由三个部分组成的浇灌系统。由于平时大家都是学理论,没有过实际开发设计的经验,拿到的时候都不知道怎么做。但通过各方面的查资料并学习。我们基本学会了PLC设计的步聚和基本方法。分组工作的方式给了我与同学合作的机会,提高了与人合作的意识与能力。 通过这次设计实践。我学会了PLC的基本编程方法,对PLC的工作原理和使用方法也有了更深刻的理解。在对理论的运用中,提高了我们的工程素质,在没有做实践设计以前,我们对知道的撑握都是思想上的,对一些细节不加重视,当我们把自己想出来的程序与到PLC中的时候,问题出现了,不是不能运行,就是运行

eda课程设计实习心得体会报告.doc

eda课程设计实习心得体会报告 随着EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度,提供课程设计指导实习,分享心得体会报告。下面是查字典小编为大家收集整理的eda课程设计实习心得体会报告,欢迎大家阅读。 eda课程设计实习心得体会报告篇1 EDA课程设计心得体会,这次EDA课程设计历时两个星期,通过这次设计,通过这次课程设计使我懂得了理论与实际相结合是很重要的,在设计的过程中遇到问题,同时在设计的过程中发现了自己的不足之处,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,PLC实训心得,在学完PLC 理论课程后我们做了课程设计,此次设计以分组的方式进行,没有过实际开发设计的经验,我们基本学会了PLC设计的步聚和基本方法。 这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信

号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在15秒左右比较合适。另外,Endtime的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。 其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 在设计过程中,总是遇到这样或那样的问题。有时发现一个问题的时候,需要做大量的工作,花大量的时间才能解决。自然而然,我的耐心便在其中建立起来了。为以后的工作积累了经验,增强了信心。 eda课程设计实习心得体会报告篇2 本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用Quartus软件,对其进行波形以及功能的仿真。我们组抢答器的设计要求是:可

EDA课程设计--定时器

电子课程设计 ——定时器 学院: 专业: 姓名: 学号: 指导老师: 2009年12月

目录 一.设计任务与要求 (3) 二.总体框图 (3) 三.功能模块 (4) 四.总体设计电路图 (12) 五.心得体会 (14)

定时器 一、设计任务与要求 1.设计任务与要求: 通过设计,定时器可以整体清零;可以定时最高到99MIN;以秒速度递增至预定时间,以分速度递减至零。 2.设计目的 (1)了解并掌握电路的一般设计方法,具备初步的独立设计能力。 (2)进一步熟悉常用电子模块的设计思路和功能,并掌握合理选用的原则。 (3)提高综合运用所学的理论知识。独立分析和解决问题的能力。 (4)进一步熟悉电子仪器的正确使用方法。 二、总体框图 图1 设计思路:通过记数器控制中心输入秒信号,并输出两个四位的BCD 码,可分别 来表示各位与十位,也可整体复位清零。通过该记数器实现以秒速度 控制计数部分 二选一选择器 分频器 译码器 数码管 时钟信号

递增至清零,该记数器以秒的速度递增至99来实现置位,而以分的速度递减至零以实现定时功能。通过二选一选择器对个位和十位进行扫描输出,并将输出送到译码器,通过译码器对输入的四位BCD 码进行七段码编译,然后输出到数码管。 三、功能模块 1、ctrl 记数模块 模块器件图: ctrl inst cn res clk cout low[3..0]high[3..0] 图2 模块逻辑功能:ctrl 计数模块是该定时器的核心部分.res 为复位端,用来清零, 采用异步复位方式;cn 用于置位,高电平有效。cout 端将在定时结束时产生高电平。Low 和high 为四位BCD 码输出端口,可用于显示。当cn 有效时,clk 脉冲上升沿到来,计数加1;当cn 为低电平时,置位结束,进入计时阶段,每60个时钟周期(相当于一分钟)发出一个脉冲,使输出记数减1,直到记时结束,命名cout 位为高电平为止。该模块的源程序如下: LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY ctrl IS PORT

基于eda的实训心得3篇

基于eda的实训心得3篇 EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。下面是基于eda的实训心得,希望可以帮到大家。 篇一:基于eda的实训心得 短暂的一周实训已经过去了,对于我来说这一周的实训赋予了我太多实用的东西了,不仅让我更深层次的对课本的理论知识深入了理解,而且还让我对分析事物的逻辑思维能力得到了锻炼,提高了实际动手能力,下面谈一下就这一周实训中我自己的一些心得体会。一周的实训已经过去了,我们在老师提供的实践平台上通过自己的实践学到了很多课本上学不到的宝贵东西,熟悉了对Quartus Ⅱ软件的一般项目的操作和学到了处理简单问题的基本方法,更重要的是掌握了VHDL语言的基本设计思路和方法,我想这些会对我今后的学习起到很大的助推作用。此外,还要在今后的课本理论知识学习过程中要一步一个脚印的扎实学习,灵活的掌握和运用专业理论知识这样才能在以后出去工作的实践过程中有所成果。 最后还要感谢学校为我们提供这样专业的实践平台还有瓮老师在一周实训以来的不断指导和同学的热情帮助。总的来说,这次实训我收获很大。 同时,感谢大专两年来所有的老师,是你们为我解惑受业,不仅教授我专业知识,更教会我做人的道理。 这次EDA实训让我感觉收获颇多,在这一周的实训中我们不仅巩固了以前学过的知识,而且还学到了怎样运用EDA设计三种波形的整个过程和思路,更加强了我们动手能力,同时也提高了我们的思考能力的锻炼,我们在写程序的同时还要学会要改程序,根据错误的地方去修改程序。 本文基于Verilog HDL的乒乓球游戏机设计,利用Verilog HDL语言编写程序实现其波形数据功能在分析了CPLD技术的基础上,利用CPLD开发工具对电路进行了设计和仿真,从分离器件到系统的分布,每一步都经过严格的波形仿真,以确保功能正常。

EDA课程设计交通灯

EDA课程设计交通 灯

实 验 报 告 设计题目: 交通信号控制器 班级: 学号: 姓名: 指导老师: 设计时间: 4月 交通信号控制器的VHDL设计

一、设计任务: 模拟十字路口交通信号灯的工作过程, 利用实验板上的两组红、黄、绿LED作为交通信号灯, 设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时, 有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的, 没有间隔时间; (3)主干道上的绿灯时间为40秒, 支干道的绿灯时间为20秒; (4)在任意时间, 显示每个状态到该状态结束所需的时间。 支干道 主干道 图1 路口交通管理示意图 表1 交通信号灯的4种状态 二、设计目的:

设计交通灯控制系统主要是为了实现城市十字交叉路口红绿灯的控制。经过对QuartusII软件使用方法与技巧的学习, 掌握VHDL程序设计的实现, 熟悉可编程逻辑器件的使用。同时加深对交通灯控制系统的了解与应用。 三、设计方案: 图2 交通信号灯控制器的原理框图 信号灯输出 图3 交通信号灯控制器程序原理框图

四、程序清单和说明: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY TRAFFIC IS PORT (CLK: IN STD_LOGIC; LED7S1: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);--数码管高位显示数字 LED7S2: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);--数码管低位显示数字 LIGHT: OUT STD_LOGIC_VECTOR(5 DOWNTO 0));--各交通灯显示 END ENTITY; ARCHITECTURE ONE OF TRAFFIC IS TYPE STATES IS( STA, STB, STC, STE);--定义状态变量 SIGNAL ST0:STATES; SIGNAL ST1:STATES; SIGNAL FULL: STD_LOGIC; SIGNAL FOUT: STD_LOGIC;时钟输出信号( 秒频) SIGNAL TIME: STD_LOGIC_VECTOR(6 DOWNTO 0);时间计数值 SIGNAL TIME_LEFT: STD_LOGIC_VECTOR(6 DOWNTO 0);显示时间剩余值SIGNAL T_HIGH:STD_LOGIC_VECTOR(1 DOWNTO 0);高位显示 SIGNAL T_LOW:STD_LOGIC_VECTOR(6 DOWNTO 0);低位显示 BEGIN

EDA课程设计———电路实验仿真

南京工程学院 电力工程学院 / 学年第学期 实验报告 课程名称 实验名称 班级名称 学生姓名 学号 同组学生姓名 实验时间 实验地点 实验报告成绩:评阅教师签字: 年月日 电力工程学院二〇〇七年制

9.4电感特性的仿真测试 一、实验目的 1、熟悉Mutisim9在电路分析中的作用。 2、观察电感特性。 二、原理简述 在Mutisim9中对电感特性进行仿真测试,可以很方便只管的观察到电感所特有的特性。 三、实验接线图 图1电感仿真测试电路 四、仿真结果 图2电感的特性

五、结论 本实验很好的演示了电感在电路中的特性。 六、心得、体会 使用Mutisim9观察电感特性,直观方便。 9.6 LC并联回路特性的仿真测试 一、实验目的 1、熟悉Mutisim9在电路分析中的作用。 2、观察LC并联回路特性。 二、原理简述 在Mutisim9中对电感特性进行仿真测试,可以很方便只管的观察到LC并联回路的特性。 三、实验接线图 图3 LC并联谐振回路测试电路 图4 LC并联谐振回路测试电路

四、仿真结果 图5 仿真测试结果 图6 LC并联谐振回路的频率特性 图7 LC串联谐振回路的谐振频率

图8 LC并联谐振回路的相频特性 图9 LC并联谐振回路的谐振频率 五、结论 从结果中可以很清楚的看到LC并联回路的特性和LC串联谐振回路的谐振频率。 六、心得体会 使用Mutisim9观察LC回路特性,直观方便。 9.9戴维南和诺顿等效电路的仿真分析 一、实验目的 1、熟悉Mutisim9在电路分析中的作用。 2、利用Mutisim9的仿真分析求出戴维南和诺顿等效电路。 二、原理简述 在Mutisim9中可以利用电压表测量电路端口的开路电压,利用电流表测量电路端口的短路电流即可求得线性电路的戴维南和诺顿等效电路。 三、实验接线图

北京科技大学EDA课程设计报告

EDA课程设计报告 基于8051的可编程电量监测及显示系统 仿真电路设计 院(系):自动化 专业:自动化 学生姓名:宋凯 学号: 41351080 指导教师:王玲 小组分工 赵子秋:负责电路系统搭建。 宋凯:负责程序设计及调试。 陈经纬:负责课题选择、资料搜集以及协助调试电路及程序。 2015年10月 28 日

目录 一、概述 (3) 二、设计要求 (3) 2.1硬件要求 (3) 2.2软件要求 (3) 2.3其他要求 (4) 三、总体框图 (4) 四、功能模块 (5) 4.1模拟电源模块: (5) 4.2A/D采样模块 (6) 4.38051控制、1602显示模块及蜂鸣器低电位报警模块 (6) 五、总体设计电路图 (7) 六、系统测试与结果分析 (10) 七、设计心得体会 (12) 八、参考文献 (12)

概述 本设计主要想法来自与生活中常用到的电池的电量显示,采用multisim中MCU库内的8051可编程控制芯片做主要控制芯片。外围模块包括LCD1602显示模块、蜂鸣器报警模块和电源电量模拟模块。通过外围电路的连接以及对8051写入相应程序,最终利用MULTISIM实现了对一个电源电量监测及显示系统的仿真。 设计要求 硬件要求 为了很好的实现在Multisim中对系统功能的实现,首先我们得了解8051可编程芯片的功能。由于8051自身不带A/D、D/A转换模块,我们还得了解A/D模块的使用方法。由于本次设计的显示模块用到了LCD1602,我们还得掌握LCD1602的配置使用方法及通信方式。最后搭建的电路要实现稳定输出、走线美观等要求。 软件要求 首先我们必须熟练掌握Multisim仿真软件的使用,其次因为本设计用到了可编程芯片8051,所以我们还得掌握一个编程软件的应用(本次设计选用的是Keil5)。

EDA课程设计报告(自动售货机)

EDA(Verilog)课程设计报告 ——自动售货机 摘要 本设计是以现场可编程逻辑器件(FPGA)为核心的自动售货机,利用QuartusⅡ软件编写verilog HDL硬件描述语言程序以实现自动售货功能。 本设计主要以程序为主,硬件方面则使用实验箱(芯片Cyclone II— EP2C35F672C8,基于MagicSOPC创新教学实验开发平台),将程序各变量端口与实验箱管脚进行相应的配置,用八个开关分别代表商品价格、所投钱币价格及确认付款找零操作。当选择好商品并投币后,数码管显示所选商品价格及投入钱币价格;按下确认付款开关,数码管显示应找多少钱,若交易成功,则对应商品LED灯亮,同时步进电机转动将商品送出。若所投钱币小于所选商品价格,此时交易不成功,同时对应警告的LED灯亮。 目录 前言 第一章系统设计 1.1 系统设计 1.2 总体设计 1.3 方案设计 第二章详细设计 2.1 自动售货机状态描述 2.2 详细状态描述 2.2.1 初始状态 2.2.2 选商品状态 2.2.3 投币状态 2.2.4 找零状态 第三章软件设计

3.1 程序总流程图 3.2 verilog HDL源程序 第四章结果与讨论 4.1 实验调试 4.1.1 调试步骤 4.1.2 实验现象 4.2 结果与分析 第五章心得体会 参考文献 附录 前言 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 V erilog HDL是众多EDA软件中的一个,结合我们这学期所学课程,本次设计采用的是V erilog公司推出的V erilog硬件描述语言,目的是为了将我们所学应用到实际生活中,同时加深我们对V erilog硬件描述语言,FPGA芯片等等的认识与掌握。 Ve r i l o g硬件描述语言的主要能力: · 基本逻辑门,例如a n d、o r和n a n d等都内置在语言中。 · 用户定义原语( U D P)创建的灵活性。用户定义的原语既可以是组合逻辑原语,也可以是时序逻辑原语。 · 开关级基本结构模型,例如p m o s 和n m o s等也被内置在语言中。 · 提供显式语言结构指定设计中的端口到端口的时延及路径时延和设计的时序 检查。 · 可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模。 · Verilog HDL中有两类数据类型:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。 · 能够描述层次设计,可使用模块实例结构描述任何层次。 ·设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。 · Verilog HDL不再是某些公司的专有语言而是I E E E标准。

EDA课程设计简易售货机

燕山大学 课程设计说明书题目:简易售货机

院(系):基层教学单位: 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

目录 第1章摘要 (1) 第2章引言 (2) 第3章基本原理与设计思路 (3) 第4章设计说明与模块介绍 (5) 4.1 显示模块 (5) 4.2 蜂鸣器模块 (8) 4.3 总体设计电路 (10) 第5章管脚锁定与硬件连线 (14) 课程设计心得体会 (17) 参考文献 (18)

第一章摘要 本题目是设计简易售货机,首先要用数字开关设置大小在0-15内的购物金额和付款金额,然后要以十进制形式显示购物金额、付款金额和找零金额,若付款金额小于购物金额则蜂鸣5s。实现的关键在于三个方面:1将二进制的输入转化为十进制输出,用数码管显示,采用74283加法器构成BCD码加法器实现二进制数转化为十进制,以驱动数码管的显示,连线较简单;2 减法运算得出结果,结果为负数时,输出为0,采用74283加法器,将一个输入端与另一个输入端的补码相加,即可得到减法运算,然后利用其借位输出与减法运算的结果相与,再通过数码管输出;3 蜂鸣器响5秒,并能连续工作,利用74160加法计数器,频率1 HZ,计数5次,输出高电平以实现驱动蜂鸣器响5秒,蜂鸣期间,通过反馈利用T’FF来实现对原来外部状态的锁存,避免外部状态改变使得蜂鸣器过早中断,以保证能够可靠工作。 关键字:二-十进制、显示、74283、减法、74160、蜂鸣器。 第二章引言

售货机在我们的日常生活中随处可见,比如说我们去超市购物,收银员只要输入顾客的购物金额和付款金额,电脑就会自动的显示并计算出应找的钱数。从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购买好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。 第三章基本原理 此简易售货机要解决的主要问题集中在:1将二进制的输入转化为十进制输出,用数码管显示;2 减法运算得出结果,结果为负数时,输出为0。3 蜂鸣器响5秒,并能连续工作。 二进制的输入转化为十进制输出显示模块: 方法1:有输入G4,G3,G2,G1,F4,F3,F2,F1共八个变量,输出S4,S3,S2,S1,根据真值表写出逻辑表达式,通过组合逻辑电路将二进制数转化为十进制。 方法2:利用74283加法器构成BCD码加法器实现二进制数转化为十进制。 考虑到输入变量很多,列写真值表,由简单的与门,与非门等组合逻辑电路,有些繁琐不便,故用封装好的74283进行组合构成BCD码加法器,连线比较简单。 减法运算得出结果,结果为负数时,输出为0: 方法1:利用74283加法器,将一个输入端与另一个输入端的补码相加,即可得到减法运算。然后,利用7485比较器,将两个输入端相比较,当结果大于等于0时,和减法运算结果相与,通过数码管输出。

EDA课程设计报告(交通信号控制器的VHDL的设计)[详细]

交通信号控制器的VHDL的设计 一、设计任务 模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器.能达到的要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间. 支干道 主干道 图1 路口交通管理示意图 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用.通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明 (1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的.因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作. 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号;

系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号. 模块说明: 系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号; 系统输出信号: t米: 产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换. 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; t米: 接收计数秒数选择电路状态转换信号; 系统输出信号: co米b_out: 负责红绿灯的状态显示. (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示. 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号: led7s1: 负责红绿灯的显示秒数个位. led7s2: 负责红绿灯的显示秒数十位. 三、设计方案

EDA课程设计

目录 1.课程设计目的 (1) 2. 设计原理 (1) 3. 频率计各部分源程序 (2) 4.设计模块连线 (5) 5. 设计最终仿真波形 (6) 6.设计项目添加 (6) 7.课程设计现象 (8) 8.课程设计说明 (8) 9. 心得体会 (8) 参考文献 (9)

1.课程设计目的: 1、进一步了解EDA语言功能。 2、了解EDA在高频工作下的优势,这是单片机无法比拟的。 2.设计原理: 采用一个标准的基准时钟,在单位时间如(1s)里对被测信号的脉冲数进行计数,即为信号的频率。 8位数字频率计的顶层框图(endfreq.gdf)如图1所示,整个系统可分为四个模块:控制模块、计数测量模块、锁存器模块和显示模块。 .. 图1 8位数字频率计的原理框图 1、控制模块(testctl.vhd): 控制模块的作用是产生测频所需要的各种控制信号。控制信号的标准输入时钟为1HZ,每两个时钟周期进行一次频率测量。该模块产生的3个控制信号,分别为teten,load,clr_cnt。Clr_cnt信号用于在每次测量开始时,对计数器进行复位,以清除上次测量的结果,该复位信号高电平有效,持续半个时钟周期的时间。Teten为计数允许信号,在teten信号的上升沿时刻计数模块开始对输入信号的频率进行测量,测量时间恰为一个时钟周期(正好为单位时间1s),在此时间里对被测信号的脉冲数进行计数,即为信号的频率。然后将值锁存,并送到数码管显示出来。设置锁存器的好处是使显示的数据稳定,不会由于周期性的清零信号而不断闪烁。在每一次测量开始时,都必须重新对计数器清0。 控制模块的几个控制信号的时序关系如图2所示。从图中可看出,计数使能信号tsten在1s的高电平后,利用其反相值的上跳沿产生一个锁存信号load,随后产生清0信号上跳沿clr_cnt。

EDA课程设计心得体会范文

EDA课程设计心得体会范文 本系统主要介绍了锅炉的液位检测控制,还介绍了对温度和压力的检测控制,介绍了8051单片机和其它一些单片机在锅炉控制系统中的应用,介绍了它们的引脚和在系统中的电路图,本设计还采用了多种传感器来对液位、温度和压力的信号采集,利用LED来进行信号的输出显示,我设计的硬件系统的结构简化,系统精度高,具有良好的人机交互功能,并设有液位报警、高压、低压和阀门失灵等故障报警,有问题立即就能发现。通过自动调节控制液位并实现锅炉内温度和水位的报警。液位控制在设定值上正常运行不需要人工干预,操作人员劳动强度小。 采用单片机设计出的工业锅炉控制器,能够针对汽包水位的不同状态和不同外界条件进行控制,汽包水位运行稳定、控制品质良好、控制效果明显改善;同时大大提高了控制系统的抗干扰能力,保证了工业锅炉的稳定运行。控制装置具有成本低、抗干扰能力强、控制性能好等优点,且系统硬、软件维护简单方便,尤其适用于工业控制现场,具有良好的应用前景。 本系统所采用的传感器性能稳定,测量准确,大大简化现场安装,具有较高的性价比,有较大的工程应用价值,而且利用计算机单片机技术对锅炉生产过程进行自动控制有着重要的意义。其优越性主要在于:首先,通过对锅炉燃烧过程进行有效控制,使燃烧在合理的空燃比条件下进行,可以提高燃烧效率。由于工业锅炉

耗煤量大,燃烧热效率每提高1%都会产生巨大的经济效益。其次,锅炉控制过程的自动化处理以及监控软件良好的人机界面,操作人员在监控计算机上能根据控制效果及时修运行参数,这样能有效地减少工人的疲劳和失误,提高生产过程的实时性、安全性。随着计算机控制技术应用的普及、可靠性的提高及价格的下降,工业锅炉的微机控制必将得到更加广泛的应用。 课程设计的心得体会 经过三个星期的实习,过程曲折可谓一语难尽。在此期间我也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。 生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈测绘为我们的社会付出。我想说,测绘确实有些辛苦,但苦中也有乐,在如今物欲很流的世界,很少有机会能与大自然亲密接触,但我们可以,而且测绘也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这来天的实习,我感觉我和同学们之间的距离更加近了;我想说,测绘确实很累,但当我们所测的数据制成成果时,心中也不免产生兴奋;正所谓“三百六行,行行出状元”。我们同样可以为社会作出我们应该做的一切,这有什么不好我不断的反问自己。也许有人不喜欢野外的工作,也许有人认为测绘的工作环

eda实习心得体会

这次eda课程设计的实习激起了我尔后勤奋进修的乐趣,我想这将对我以后的进修发作主动的影响。其次,此次课程设想让我充份认识到到团队,在此分享心得体会。下面是小编为大家收集整理的eda实习心得体会,欢迎大家阅读。 eda实习心得体会篇1 不到一周的EDA实训就这样结束了,虽然时间有些短暂,学习的有些仓促,但是这次实训我是认真的。我没有像以往一样单一的照猫画虎,没有等待着参考别人的成果,而是一边画电路图,一边分析原理,遇到不会的,自己先勇于尝试,然后与同学交流。虽然有很多地方仍然不是很明白,但是自己至少尽心尽力了。 初次使用Multisim软件,加之又是英文版的,会很吃力,我们可能找不准元器件,可能因不懂它的属性而用错,像这样的问题不是没出现过,就在完成实训第一题目时这些错误就出现了。当时因为用错电阻的属性,导致仿真出的波形与别人不同,然而这个问题在当时困扰了我和同学很久,一直找不出问题出在哪里,后来还好有老师的指导,才找出问题的所在(我们用的是电流型电阻)。 实训内容包括了对电路、模拟电子、数字电路的简单操作,我们通过Multisim软件画出电路图,用虚拟的仪表对电路参数进行测量,用虚拟示波器对电路输入输出波形进行观测,这不仅让我们熟悉使用该软件,同时体验软件仿真在电路分析中的重要作用,利用该软件不仅可以准确测量各参量,还可帮助我们测试电路的性能。它确实很方便实用。 虽然有了这种强大软件的帮助,但是对于我们这些初学者来说,必须学会自己分析电路原理,来判断测试结果。电路、模电、数电是一年前学的,或许是因时间长,好多知识点被遗忘了,或许是当时就没将这三门功课学好,对知识点的生疏,导致实训的进行并不是很顺利,有时半天分析不出一个原理图。 实训时间很短,该软件的学习过程还很长,我不希望自己因实训结束而停止对其的认识和学习。写到这,我想起前几天一位留学回国的姐说过的话你现在所学的那些专业软件,你必须深入了解和学习;就学校进行一到两礼拜的学习是远远不够的,自己课后必须加强学习。之前的实训机会已经被荒废了,现在的机会自己应该好好珍惜。大学的美好时光所剩不多,如果觉得自己之前没有尽心尽力,那么接下来的时间自己好好珍惜吧。

相关主题
文本预览
相关文档 最新文档