当前位置:文档之家› 汽车尾灯设计

汽车尾灯设计

汽车尾灯设计
汽车尾灯设计

汽车尾灯设计VHDL语言--EDA课程设计(2009-06-03 17:30:10)标签:杂谈

元件列化部分

Library ieee;

Use ieee.std_logic_1164.all;

Use ieee.std_logic_unsigned.all;

Entity tp is

Port(clk:in std_logic;

Left:in std_logic;

Right:in std_logic;

Brake:in std_logic;

Night:in std_logic;

Ld1,ld2,ld3:out std_logic;

Rd1,rd2,rd3:out std_logic);

End;

Architecture bh of tp is

Signal tmp0,tmp1,tmp2,tmp3,tmp4:std_logic;

Signal err0,err1,err2,err3,err4,err5:std_logic;

signal bm:std_logic;

Begin

Component sz is

Port(clk:in std_logic;

Cp:out std_logic);

End component;

Component ctrl is

Port(left,right,brake,night:in std_logic;

Lp,rp,lr,brake_led,night_led:out std_logic);

End component;

Component lc is

Port(clk,lp,lr,brake,night:in std_logic;

Ledl,ledb,ledn:out std_logic);

End component;

Component rc is

Port(clk,rp,lr,brake,night:in std_logic;

Ledr,ledb,ledn:out std_logic);

End component;

U1:sz port map(clk,bm);

U2:ctrl port map(left,right,brake,night,tmp0,tmp1,tmp2,tmp3,tmp4); U3:lc port map(clk,tmp0,tmp2,tmp3,tmp4,err0,err1,err2);

U4:rc port map(clk,tmp1,tmp2,tmp3,tmp4,err3,err4,err5);

Ld1<=err0 and bm;

Ld2<=err1;

Ld3<=err2;

Rd1<=err3 and bm;

Rd2<=err4;

Rd3<=err5;

End;

汽车尾灯主控制模块CTRL:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY CTRL IS

PORT(LEFT,RIGHT,BRAKE,NIGHT: IN STD_LOGIC;

LP,RP,LR,BRAKE_LED,NIGHT_LED: OUT STD_LOGIC); END ENTITY CTRL;

ARCHITECTURE ART OF CTRL IS

BEGIN

NIGHT_LED<=NIGHT;

BRAKE_LED<=BRAKE;

PROCESS(LEFT,RIGHT)

VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

TEMP:=LEFT&RIGHT;

CASE TEMP IS

WHEN "00"=>LP<='0';RP<='0';LR<='0';

WHEN "01"=>LP<='0';RP<='1';LR<='0';

WHEN "10"=>LP<='1';RP<='0';LR<='0';

WHEN OTHERS=>LP<='0';RP<='0';LR<='1'; --输出错误控制信号

END CASE;

END PROCESS;

END ARCHITECTURE ART;

时钟分频模块SZ:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SZ IS

PORT(CLK: IN

STD_LOGIC; --时钟输入

CP: OUT STD_LOGIC);

END ENTITY SZ;

ARCHITECTURE ART OF SZ IS

SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0); --定义八位标准逻辑位矢量数据类型

BEGIN

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿

COUNT<=COUNT+1;

END IF;

END PROCESS;

CP<=COUNT(3);

--输出第五位

END ARCHITECTURE ART;

右边尾灯控制模块RC:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY RC IS

PORT(CLK,RP,LR,BRAKE,NIGHT: IN STD_LOGIC;

LEDR,LEDB,LEDN: OUT STD_LOGIC);

END ENTITY RC;

ARCHITECTURE ART OF RC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,RP,LR)

BEGIN

IF CLK'EVENT AND CLK='1'

THEN --检测时钟上升沿

IF(LR='0') THEN

IF(RP='0') THEN

LEDR<='0';

ELSE

LEDR<='1';

END IF;

ELSE

LEDR<='0';

END IF;

END IF;

END PROCESS;

END ARCHITECTURE ART;

左边尾灯控制模块LC:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY LC IS

PORT(CLK,LP,LR,BRAKE,NIGHT: IN STD_LOGIC;

LEDL,LEDB,LEDN: OUT STD_LOGIC); END ENTITY LC;

ARCHITECTURE ART OF LC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,LP,LR)

BEGIN

IF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿

IF(LR='0') THEN

IF(LP='0') THEN

LEDL<='0';

ELSE

LEDL<='1';

END IF;

ELSE

LEDL<='0';

END IF;

END IF;

END PROCESS;

END ARCHITECTURE ART;

汽车尾灯课程设计

综述 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节. 当今社会生活节奏快,交通拥挤,导致交通事故频繁发生,其中汽车追尾事件在交通事故中所占比重较大,追尾时间的产生主要是由于司机的疏忽以及无法把握前方车辆的运行的状况而导致的;而汽车尾灯控制电路的产生,恰好有利于缓解这一状况,通过对尾灯的控制,体现汽车在公路的上的行驶状态,即汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁。通过这一特点来提示后方车辆本车的行驶情况,有利于减少汽车追尾事件的发生,是一个值得普及的设计,而与此同时在此设计的基础上还可实现电路的拓展,例如加上被劫持报警装置等实用设备。 汽车尾灯控制电路如果在汽车领域广泛应用将有利于减少交通事故的发生。 1 总体逻辑结构 1.1汽车尾灯运行状态关系 根据课程设计任务书要求,分析汽车运行状态与尾灯关系可得如下关系表(表1-1)。其中J1,J2代表控制开关。 表1-1 汽车尾灯与汽车运行关系表 J2 J1 运行状态左尾灯右尾灯

0 0 1 1 0 1 1 正常行驶 右转弯 左转弯 紧急刹车 灭 灭 左尾灯循环闪烁 所有灯同时闪烁 灭 右尾灯循环闪烁 灭 所有灯同时闪烁 1.2汽车尾灯电路的逻辑电路关系 按照以上汽车的运行状态与尾灯关系分析总结,写出汽车尾灯正常行驶,左转弯,右转弯,紧急刹车时的二进制代码,以实现汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮;左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁的任务要求。其关系如下表(表1-2)。 表1-2汽车尾灯电路的逻辑关系表 开关控制二进制代码左尾灯右尾灯 J2 0 0 0 0 1 1 1 1 J1 1 1 1 1 Q1 X 1 1 X Q0 X 1 1 X D4 1 C L K D5 1 C L K D6 1 C L K D1 1 C L K D2 1 C L K D3 1 C L K

数电课设汽车尾灯控制电路终审稿)

数电课设汽车尾灯控制 电路 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

课程设计课程名称数字电子技术 课题名称汽车尾灯控制电路 专业自动化 班级1591班 学号 姓名黄建龙 指导老师程春红 2017年 03 月 16 日

电气信息学院 课程设计任务书 课题名汽车尾灯控制电路 姓黄建龙专自动化班1591班学21 指导老程春红 课程设计时 一、任务及要求 任务:假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1.汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设 计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验 证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印。 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1.康华光主编. 电子技术基础(数字部分),高等教育出版社。 2.阎石主编. 电子技术基础(数字部分),清华大学出版社。 3.任为民主编. 电子技术基础课程设计,中央广播电视大学出版社。 4.彭介华主编. 电子技术课程设计指导,高等教育出版社。 5.谢自美主编.《电子线路设计、实验、测试》,华中理工出版社。 目录 一、课程设计的任务要求---------------------------------------3 二、设计方案-------------------------------------------------4

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

数字电路课程设计汽车尾灯控制电路设计

汽车尾灯控制电路的设计 目录 1.设计任务和设计要求 (1) 1.1设计任务 (1) 1.2设计要求 (1) 2. 设计原理与总体框图 (1) 3.单元电路设计 (2) 3.1三进制计数器 (2) 3.2汽车尾灯控电路 (3) 3.3开关控制电路 (4) 3.4时钟产生电路 (5) 4.汽车尾灯总体电路 (5) 5.试验方案及体会 (7) 6.器件清单 (7) 7.参考文献 (11)

1.设计任务和设计要求 1.1设计任务 设计一个汽车尾灯控制电路。汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 1.2设计要求 设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。要求是: (1)汽车正常行驶时,尾灯全部熄灭。 (2)当汽车左转弯时,右侧3个指示灯按左循环点亮。 (3)当汽车右转弯时,左侧3个指示灯按右循环点亮。 (4)临时刹车时,所有指示灯同时闪烁。 (5)选择电路方案,完成对确定方案的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。 表1.1尾灯和汽车运行状态关系表 2. 设计原理与总体框图 根据设计的基本要求,汽车左或右转弯时 , 三个指示灯循环点亮 , 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件 (SI 、S0 、 CP 、 Q1 、 Q0 )的关系 , 即逻辑功能表如表2.1所示 ( 表中0表示灯灭状态 ,1表示灯亮状

态 ) 。 表2.1.汽车尾灯控制逻辑功能表 开关控制 三进制计数器六个指示灯 S1 S0 Q1 Q0 D6 D5 D4 D1 D2 D3 0 0 ××0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 ××CP CP CP CP CP CP 根据表1.1可以得出原理框图,如图2.1所示。 图2.1 汽车尾灯控制电路原理图 3.单元电路设计 3.1三进制计数器 三进制计数器可由双JK触发器74LS76构成,其连接电路如图3.1所示。

汽车尾灯-设计

一课程设计任务、要求 用6只小灯泡模拟6只汽车尾灯,左侧3只,右侧3只。用4个开关分别模拟脚踏制动器,停车信号,左转弯控制和右转弯控制。 汽车在转弯时,该侧的3只尾灯按下列状态周期性的亮与暗。000100 110111000…… 在无制动时,如果驾驶员不慎将两个转向开关都接通,则两侧的尾灯都作同样的周期性亮暗变化。 在制动时,若转弯开关未合上(或错误的将两个转弯开关都合上),所有的6只尾灯均亮。 停车时,6只尾灯按脉冲频率闪亮。用555定时器实现脉冲信号。 二、设计总体思路、基本原理和框图 1.总设计思路 为达到设计要求汽车尾灯控制电路应包括时钟脉冲电路,四进制计数器电路,尾灯显示电路和开关控制电路。 脉冲信号产生电路提供脉冲信号给计数器和尾灯。 当汽车完成左转和右转功能时,要求尾灯按000→100→110→111→000的顺序循环点亮,所以需要一个四进制计数器完成四进制计数功能,然后再通过开关的选择,完成汽车左转和右转尾灯的点亮。 汽车需要达到左转、右转、制动和停车四种状态,所以需要四个开关分别控制,通过开关的选择从而达到几种功能的选择。 显示电路需要六个指示灯,还包括一些门电路,通过开关的选择达到设计所要求的状态。 2.电路的基本原理 首先通过555定时器构成的多谐振荡器产生1HZ的脉冲信号,该脉冲信号用于提供给两个四进制计数器以及开关控制电路中三输入或门的输入信号。 其次用两个160计数器改成四进制计数器,用于产生00、01、10、11的循

环信号,次信号提供左转和右转的原始信号。汽车转弯时该侧的三个指示灯按000→100→110→111→000的顺序循环。 计数器为00状态时,表示该侧尾灯状态为000; 计数器为01状态时,表示该侧尾灯状态为100; 计数器为10状态时,表示该侧尾灯状态为110; 计数器为11状态时,表示该侧尾灯状态为111。 用四个开关T、Z、R、L四个开关分别控制汽车停车、制动、右转、左转四个状态。汽车状态与尾灯显示如下表所示:

汽车尾灯课程设计++VHDL++EDAgrx

《2011至尊恋爱秘籍》男人幸福必备! 目录 1.引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 1.3 EDA的介绍 (1) 1.3.1 EDA技术的概念 (1) 1.3.2 EDA技术的特点 (2) 1.3.3 EDA设计流程 (2) 1.4硬件描述语言(VHDL) (2) 1.4.1 VHDL的介绍 (2) 1.4.2 VHDL语言的特点 (3) 2.总体设计 (4) 2.1需求分析 (4) 2.2汽车尾灯控制器的工作原理 (4) 2.3 汽车运行状态表和总体框图 (5) 3.详细设计 (6) 3.1各组成模块 (6) 3.2时钟分频模块 (6) 3.3 汽车尾灯主控模块 (6) 3.4左边灯控制模块 (7) 3.5右边灯控制模块 (9) 4.系统仿真与调试 (10) 4.1分频模块仿真及分析 (10) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (11) 4.5整个系统仿真及分析 (12) 4.6 总体设计电路图 (12) 总结 (13) 参考文献 (14)

1.引言 随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。 1.1设计的目的 本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。 1.2设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 1.3 EDA的介绍 1.3.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

电子技术课程设计汽车尾灯

课程设计报告设计题目:汽车尾灯控制电路的设计与实现 班级:计算机 学号: 姓名: 指导教师: 设计时间: 摘要 进行本次课程设计主要有两个目的,一是对数字逻辑这门课程的理论知识进行一次系统的梳理;二是锻炼自己将理论应用于实践的能力。针对以上目的,就要求做到,通过分析实际的需求提炼出相应的理论模型,进而再进行电路的设计,在之后的实际电路实现的过程中,还可以根据实际的需要对电路做出一些改进。 本课题设计一个汽车尾灯的控制电路。汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。 使用555定时器发出秒脉冲,74LS161计数器和74LS138以及其他逻辑门实现控制个驱动功能,实现基本要求和扩展,即汽车正常行驶时指示灯不亮;右转弯时右侧3个指示灯按右循环顺序点亮,左侧指示灯全灭;左转弯时左侧3个指示灯按左循环顺序点亮,右侧指示灯全灭;汽车临时刹车和倒车时指示灯闪烁;右转弯刹车时右侧灯顺序循环点亮,左侧灯全亮;左转弯刹车时左侧灯顺序循环点亮,右侧灯全亮以及用数码管显示各个状态等。 关键词:计数器,译码器,555定时器,逻辑门等 目录 摘要 (2) 第1章概述 (4) 第2章课程设计任务及要求 (5) 2.1 设计任务 (5)

2.2 设计要求 (5) 第3章系统设计 (7) 3.1 方案论证 (7) 3.2 系统设计 (7) 3.2.1 结构框图及说明 (7) 3.2.2 系统原理图及工作原理 (8) 3.3 单元电路设计 (9) 3.3.1 单元电路工作原理 (9) 3.3.2 元件参数选择 (12) 第4章软件仿真 (13) 4.1 仿真电路图 (13) 4.2 仿真过程 (13) 4.3 仿真结果 (14) 第5章安装调试 (20) 5.1 安装调试过程 (20) 5.2 故障分析 (20) 第6章结论 (21) 第7章使用仪器设备清单 (21) 参考文献 (21) 收获、体会和建议 (22) 第1章概述 随着现代科技和社会经济的发展,汽车已经逐步被广泛应用于人们的生产和生活。而对于汽车行驶安全的要求就显得尤为重要,通过科技的力量来改进汽车的性能已经成为主要的方向。立足于《电子技术》这门课程的知识体系,力求通过本学科的一些知识对汽车的尾灯显示电路进行模拟和做出一些分析改进。希望通过这次设计实践,达到两个目的,锻炼自己的动手实践能力,以及用已学的知识对汽车尾灯控制电路进行详尽的分析与模拟。 对于汽车尾灯控制电路这项课设,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态一一对应;三是汽车尾灯的显示要依次循环变亮。针对

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计 一、设计基本要求: 假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟) 1.汽车整车运行时指示灯全灭; 2.右转弯时,右侧3个指示灯按右循环顺序点亮; 3.左转弯时左侧3个指示灯按左循环顺序点亮; 4.临时刹车时所有指示灯同时闪烁 二、设计方案: 1.汽车尾灯显示状态与汽车运行状态的关系 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。 2. 在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。 方案原理框图如下图所示 开关控制电路显示、驱动电路 译码电路 计数器 {尾灯电路 汽车尾灯控制电路原理框图 本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 三、电路设计步骤: 1.时钟脉冲电路

由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。时钟脉冲电路如 下图1所示: 1.时钟脉冲电路 555定时器引脚图 2. 三进制计数器 汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)

汽车尾灯设计

汽车尾灯-设计

————————————————————————————————作者: ————————————————————————————————日期:

一课程设计任务、要求 用6只小灯泡模拟6只汽车尾灯,左侧3只,右侧3只。用4个开关分别模拟脚踏制动器,停车信号,左转弯控制和右转弯控制。 汽车在转弯时,该侧的3只尾灯按下列状态周期性的亮与暗。000100 110111000…… 在无制动时,如果驾驶员不慎将两个转向开关都接通,则两侧的尾灯都作同样的周期性亮暗变化。 在制动时,若转弯开关未合上(或错误的将两个转弯开关都合上),所有的6只尾灯均亮。 停车时,6只尾灯按脉冲频率闪亮。用555定时器实现脉冲信号。 二、设计总体思路、基本原理和框图 1.总设计思路 为达到设计要求汽车尾灯控制电路应包括时钟脉冲电路,四进制计数器电路,尾灯显示电路和开关控制电路。 脉冲信号产生电路提供脉冲信号给计数器和尾灯。 当汽车完成左转和右转功能时,要求尾灯按000→100→110→111→000的顺序循环点亮,所以需要一个四进制计数器完成四进制计数功能,然后再通过开关的选择,完成汽车左转和右转尾灯的点亮。 汽车需要达到左转、右转、制动和停车四种状态,所以需要四个开关分别控制,通过开关的选择从而达到几种功能的选择。 显示电路需要六个指示灯,还包括一些门电路,通过开关的选择达到设计所要求的状态。 2.电路的基本原理 首先通过555定时器构成的多谐振荡器产生1HZ的脉冲信号,该脉冲信号用于提供给两个四进制计数器以及开关控制电路中三输入或门的输入信号。 其次用两个160计数器改成四进制计数器,用于产生00、01、10、11的循

汽车尾灯课程设计

目录 一、设计课题任务和要求 (2) 二、总体方案选择的论证 (2) 三、单元电路的设计 (4) 四、总体电路图、功能单元电路图 (6) 五、组装与调试 (9) 六、所设计电路的特点以及改进意见 (11) 七、所用元器件的编号列表 (11) 八、参考文献 (11) 九、收获、体会和建议 (12) 十、附录 (12) 一、设计课题任务和要求 本课题设计一个汽车LED尾灯的控制器电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯点亮。 当接通检查电键时,汽车所有的尾灯同时闪烁(0.5—1S/次)。 二、总体方案选择的论证 为了区分汽车尾灯的4种不同的显示模式,我们设置4个状态控制变量。假定用开关K1、K2、K3、K4进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表1所示。

1 0 1 1 右转弯熄灭 按D4、D5、D6顺序 循环点亮 1 1 0 1 刹车同时点亮同时点亮 1 1 1 0 检查同时闪烁同时闪烁 在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量K1、K0,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示(表中指示灯的 开关计数器状态汽车尾灯状态 K1 K2 K3 K4 Q1 Q0 D1 D2 D3 D4 D5 D6 0 1 1 1 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 1 1 0 1 - - 1 1 1 1 1 1 1 1 1 0 - - CP CP CP CP CP CP 表 2 汽车尾灯控制器功能表 根据以上设计分析与功能描述,可以得出汽车尾灯控制器的结构框图,如图1所示。

汽车尾灯显示控制电路设计

武汉理工大学《数字电子技术》课程设计报告 学号: 课程设计 题目汽车尾灯显示控制电路设计 学院信息工程学院 专业通信工程 班级通信0805 姓名 指导教师刘建新 2010年 7 月 1日

精品文档 目录 1 摘要 (1) 2设计要求与思路 (2) 2.1设计目的与要求 (2) 2.2设计思路构想 (2) 2.2.1汽车尾灯显示状态与汽车运行状态的关系 (2) 2.2.2汽车尾灯显示控制功能描述 (2) 3 单元电路设计 (4) 3.1 秒脉冲电路的设计 (4) 3.2 开关控制电路的设计 (5) 3.3 三进制计数器电路的设计 (7) 3.4 译码与显示驱动电路的设计 (8) 3.5 尾灯状态显示电路的设计 (10) 4电路仿真与分析 (11) 4.1电路仿真总电路图 (11) 4.2汽车尾灯显示控制电路的工作原理 (11) 4.3各部分仿真结果 (12) 4.4仿真中遇到的问题 (15) 5 元器件清单 (16) 6 设计体会 (16) 7附录 (17) 参考文献 (19)

武汉理工大学《数字电子技术》课程设计报告 1摘要 课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。 本文介绍了一种通过TTL系列产品设计模拟汽车尾灯工作情况电路的方法。主要阐述了如何通过555系列来制作脉冲产生器,如何利用J-K触发器改制三进制的计数器和译码器的使用等一系列方法。实验通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,临时刹车。 关键字:汽车尾灯,脉冲,计数器,译码器,行驶情况 Abstract Curriculum design as a simulation of digital electronic technology and electronic technology an important component of the course, on the one hand, the purpose of enabling us to further understanding of course content, the basic digital system design and debugging methods, applications of integrated circuits to increase knowledge, foster the ability of our hands as well as analysis, problem-solving abilities. This article describes a series of product design through simulation TTL auto taillight circuit methods work. Mainly on how to produce 555 series pulse generator, how to make use of JK flip-flop ternary system decoder of the counters and the use of a range of methods. Experimental simulation of vehicle through the light-emitting diode taillights to achieve a moving car when the four cases: the normal traffic, left turn, right turn, temporary brake. Keywords: auto lamps, pulse, counters, decoders, traffic situation.

数电课程设计《汽车尾灯控制系统》

课程设计报告 设计题目:汽车尾灯控制系统班级:计算机1206班 学号: 2012XXX 姓名: XXX 指导教师:马学文 设计时间: 2014年8月

摘要 在现代飞速发展的现代化社会背景下,汽车这一高科技产物越来越多地被人们使用,但也由此造成了一系列的问题,比如,由于汽车的突然转向所引发的车祸常出现。如果汽车转弯时能够通过尾灯状态的变化来提示司机,行人汽车转弯,就可减少车祸发生。因此,汽车尾灯就起到了一种信号、警示、标志的作用,也是司机在行车途中必须注意的。本次实验报告是关于取车尾灯控制系统的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路有三进制计数器、译码与显示驱动电路、尾灯状态显示电路、开关控制电路4个部分组成。分析使能控制信号与公模控制变量与时钟脉冲的关系,555定时器、3线—8线译码器实现了根据汽车运行状态指示显示4种不同的状态模式。本次报告详细讲解了该系统的设计思路及其具体的实现过程。 关键词: 计数器、译码器、定时器、时钟脉冲

目录 摘要 2 第1章概述4第2章课程设计任务及要求4 2.1 设计任务 4 2.2 设计要求 4 第3章系统设计4 3.1方案论证 4 3.2 系统设计 5 3.2.1 结构框图及说明 5 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择10 第4章软件仿真11 4.1 仿真电路图11 4.2 仿真过程13 4.2 仿真结果15 第5章安装调试17 5.2 安装调试过程17 5.3 故障分析17 第6章结论18第7章使用仪器设备清单19参考文献19 收获、体会和建议20

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

汽车尾灯的设计论文

河南机电高等专科学校 毕业设计论文 设计题目:汽车尾灯电路设计 —基于555的汽车尾灯控制电路实现方法探讨 系部电子通信工程系 专业应用电子技术 班级应电103班 学生姓名郭航飞 学号100415117 指导教师白宏伟 2013年1 月8 日

摘要 本次设计的汽车尾灯控制电路是用数字电路实现的。汽车尾灯显示控制电路是汽车尾灯电路的重要组成部分,主要完成控制与驱动功能,具体电路由三进制计数器电路、汽车行驶状态开关模拟电路和汽车行驶状态显示电路三部分组成。 在本次设计中,使用555多谐振荡器来制作电路脉冲产生器,产生时钟脉冲CP。在三进制计数器电路部分用到了数字电路中的触发器、时序逻辑电路的设计和卡诺图的化简,使用到JK触发器芯片74LS76;在汽车行驶状态开关模拟电路部分则用到了组合逻辑电路中译码器及逻辑门电路,使用到3-8译码器芯片74LS138、与门芯片74LS08、与非门芯片74LS00和或门芯片74LS32等;在汽车行驶状态显示电路中用发光二极管模拟显示汽车正常行驶、左转、右转和紧急刹车的四种状态。 关键词:数字电路555多谐振荡器三进制计数器触发器发光二极管

Abstract The design of the automobile taillights control circuit is realized by digital circuits. Car taillight display and control circuit is an important part of automotive taillight circuits, which mainly to complete the control and driving function, the specific circuit consists of three parts, which are three binary counter circuit, a state vehicle switch analog circuits and automobile driving state display circuit. In this design, I used the 555multivibrator circuit to produce pulse generator, to generate a clock pulse CP. In three binary counter circuit, I used the triggers in digital circuit, sequential logic circuit design and the Kano graph simplification, I also used JK trigger chip 74LS76 in this part; in the vehicle running state switch analog circuit part, decoder and a logic gate circuit in Combinatorial Logical Circuit were used, excluding chips like decoder chip 74LS138, AND GDTE chip 74LS08, NAND GATE chip74LS00, OR GATE chip 74LS32 and so on; in automobile driving state display circuit of light-emitting diodes with simulation shows the normal running of the automobile, turn left, turn right and emergency braking of four states. Keywords:digital circuit 555multivibrator three binary counter trigger diode

EDA课程设计(汽车尾灯设计与实现)

EDA课程设计 课题名称:汽车尾灯的设计 院系:信息科学与工程 专业班级: 姓名: 学号: 指导老师: 2013.12.20

目录 摘要..................................................................................................................错误!未定义书签。第1章选题依据 (1) 1.1汽车尾灯的国内外发展现状 (1) 1.2可编程器件的发展 (1) 1.3可编程器件在汽车电子上的运用 (2) 1.4设计内容和目标 (3) EDA设计流程 (3) 第2章EDA、VHDL简介 (4) 2.1EDA技术 (4) 2.1.1EDA技术的概念 (4) 2.1.2EDA技术的特点 (4) 2.1.3EDA设计流程 (4) 2.2硬件描述语言(VHDL) (4) 2.2.1VHDL简介 (4) 2.2.2VHDL语言的特点 (5) 第3章设计实现 (6) 3.1汽车尾灯控制器的工作原理 (6) 3.1.1功能描述 (6) 3.1.2模块设计 (6) (1)汽车尾灯主控制模块 (7) (2)时钟分频模块 (7) (3)左侧尾灯功能模块 (7) (4)右侧尾灯功能模块 (7) 3.1.3图形元件原理图 (8) 3.2主要VHDL源程序 (8) 3.2.1汽车尾灯主控制模块CTRL (8) 3.2.2时钟分频模块SZ (10) 3.2.3右侧尾灯控制模块RC (11) 3.2.4左侧尾灯控制模块LC (13) 3.2.5顶层文件VHDL程序(tp.VHD) (14) 3.3仿真图及块 (16) 3.3.1各模块的仿真波形图 (16) 图3-2汽车尾灯主控制模块CTRL (16) 3.3.2仿真波形分析 (20) 第4章设计总结 (21) 参考文献 (23) II

课程设计报告—汽车尾灯

课程设计任务书 学生姓名:吴舟专业班级:电子科学与技术0801班指导教师:吴友宇工作单位:信息工程学院 题目: 汽车尾灯控制器的电路设计 初始条件: 本设计既可以使用中、小规模集成电路芯片7400、7404、 74138、7476、7486和其它器件实现对汽车尾灯显示的控制功 能。本设计也可以使用单片机系统构建简易频率计。工作电源 Vcc为12V。电路组成框图如图1所示: 图1汽车尾灯控制电路图要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等 具体要求) 1、课程设计工作量:1周。 2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是: ①汽车正常行驶时,尾灯全部熄灭。 ②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。 ③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。 ④临时刹车时,所有指示灯同时闪烁。 ⑤选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画 出总体电路原理图,阐述基本原理。制作实际运行装置。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2010 年6 月28日集中,作课设具体实施计划与课程设计报告格式的要求说明。 2、2010 年6 月28 日,查阅相关资料,学习电路的工作原理。 2、2010 年6 月29 日至2010年6月29 日,方案选择和电路设计。 2、2010 年6月30 日至2010 年7 月1 日,电路调试和设计说明书撰写。 3、2010 年7 月2 日上交课程设计成果及报告,同时进行答辩。 课设答疑地点:鉴主13楼电子科学与技术实验室。 指导教师签名:年月日系主任(或责任教师)签名:年月日

汽车尾灯设计

课程设计报告 设计题目:汽车尾灯控制的设计 班级:计算机140* 学号:*** 姓名:*** 指导教师:** 设计时间:2016年7月

摘要 本文介绍了使用TTL逻辑门与时序逻辑芯片设计模拟汽车尾灯工作情况电路的方法。 本文主要阐述了CP脉冲产生器的设计,计数器及译码器的使用,以及显示驱动和模式控制电路的设计。所涉及的汽车尾灯控制电路分为控制电路、译码电路、驱动电路、三进制计数器及尾灯状态显示五个模块。 控制电路&尾灯显示状态:本次设计通过六个发光二极管模拟汽车尾灯来实现汽车在行驶时的七种情况:正常行驶,刹车,右转,左转,右转并刹车,左转并刹车,倒车。经三个开关进行控制六个二极管的发光、熄灭及闪烁,以满足设计所需。 此设计涉及使用555定时器组成秒脉冲发生器的设计及计算,使用74LS161计数器进行的任意进制计数器的设计(三进制计数器),73LS138译码器的使用及改造设计,TTL逻辑门电路的设计与化简等方法。 关键词:555,计数器,译码器。 目录

摘要 1 第1章概述 2 第2章课程设计任务及要求 3 2.1 设计任务 3 2.2 设计要求 3 第3章系统设计 4 3.1方案论证 4 3.2 系统设计 4 3.2.1 结构框图及说明 4 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择12 第4章软件仿真14 4.1 仿真电路图14 4.2 仿真过程及结果14 第5章安装调试20 5.1安装调试过程20 5.2故障分析20 第6章结论21 第7章使用仪器设备清单22 参考文献23 收获、体会和建议24 第2章课程设计及任务要求

关于汽车尾灯的课程设计

引言 汽车尾灯是汽车的主要部分,在我们的生活中不可缺少,正是有了它才是我们的交通得以正常进行。现在社会上出现交通事故的比例很高除了自身原因外,汽车尾灯也发挥着主要的作用,尾灯的指示灯按正常指定闪烁将会避免很多事故的发生。汽车尾灯控制电路是数字电路在交通控制电路中的典型应用,在日常生活中有着广泛的应用,本设计基本上模拟了汽车运行过程中的实际状况,一般有左右各三个灯,当人们看到灯不同形式的亮灭闪烁时,就会知道驾驶员的操作意图,即行驶、左转、右转及刹车。本设计将借助Protel 99se和NI Multisim10仿真。

1 设计方案 1.1 汽车尾灯电路设计要求 汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。 (1)汽车正常运行时指示灯全灭 (2)汽车右转弯时,右侧3个灯按右循环顺序点亮 (3)汽车左转弯时,左侧3个灯按左循环顺序点亮 (4)汽车临时刹车时所有指示灯同时闪烁 1.2 设计原理及原理框图 汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 首先,设置两个可控的开关,可产生00、01、10、11四种状态。 开关置为00状态时,汽车处于正常行驶状态; 开关置为01状态时,汽车处于右转弯的状态; 开关置为10状态时,汽车处于左转弯的状态; 开关置为11状态时,汽车处于刹车状态。 三进制计数器可由74LS163芯片和74LS00构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。 原理框图如图所示: 图1.1 原理框图 2单元电路设计 2.1 时钟脉冲电路 555定时器简介:555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS触发电路和放电管的状态。在电源与地之间加上电压,当5脚悬

相关主题
文本预览
相关文档 最新文档